OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [tb/] [core/] [run] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
#!/bin/bash
2
 
3
rm -f ssbcc;
4
ln -s ../../../../ssbcc;
5
 
6
./ssbcc -q --display-opcode core.9x8 \
7
|| { echo "ssbcc failed on core.9x8" > /dev/stderr; exit 1; }
8
 
9
verilator --lint-only core.v \
10
|| { echo "lint failed on core.v" > /dev/stderr; exit 1; }
11
 
12
iverilog -o tb tb.v core.v \
13
|| exit 1;
14
 
15
if [ -n "`./tb | cmp - tb.good 2>&1`" ]; then
16
  echo "core trace differs from nominal output" > /dev/stderr;
17
  exit 1;
18
fi
19
 
20
rm -f ssbcc;
21
 
22
echo "Passed:  core"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.