OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [tb/] [runall] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
#!/bin/bash
2
#
3
# Copyright 2012, Sinclair R.F., Inc.
4
#
5
# Run all of the test benches for the peripherals.
6
 
7
for runname in `find -name run`; do
8
  cd  ${runname:0:${#runname}-4};
9
  ./run || { echo "Tests aborted at ${runname}"; exit 1; }
10
  cd ..
11
done
12
 
13
echo "All tests passed!";
14
exit 0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.