OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [example/] [led/] [tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
/*******************************************************************************
2
 *
3
 * Copyright 2012, Sinclair R.F., Inc.
4
 *
5
 * Test bench for led.v.
6
 *
7
 ******************************************************************************/
8
 
9
`timescale 1ns/1ps
10
 
11
module tb;
12
 
13
reg s_clk = 1'b1;
14
always @ (s_clk) s_clk <= #5 ~s_clk;
15
 
16
reg s_rst = 1'b1;
17
initial begin
18
  repeat (5) @ (posedge s_clk);
19
  s_rst <= 1'b0;
20
  repeat(100) @ (posedge s_clk);
21
  $finish;
22
end
23
 
24
led uut(
25
  // synchronous reset and processor clock
26
  .i_rst        (s_rst),
27
  .i_clk        (s_clk),
28
  // outport ports
29
  .o_led        ()
30
);
31
 
32
initial begin
33
  $dumpfile("tb.vcd");
34
  $dumpvars();
35
end
36
 
37
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.