OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [lib/] [9x8/] [tb/] [cmp_8bit_uu/] [tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
/*******************************************************************************
2
 *
3
 * Copyright 2013, Sinclair R.F., Inc.
4
 *
5
 * Test bench for the core/9x8 libraries.
6
 *
7
 ******************************************************************************/
8
 
9
`timescale 1ns/1ps
10
 
11
module tb;
12
 
13
reg s_clk = 1'b1;
14
always @ (s_clk)
15
  s_clk <= #5 ~s_clk;
16
 
17
reg s_rst = 1'b1;
18
initial begin
19
  repeat (5) @ (posedge s_clk)
20
  s_rst <= 1'b0;
21
end
22
 
23
wire [7:0] s_value;
24
wire       s_value_wr;
25
wire       s_terminate_str;
26
uc inst_uc(
27
  // synchronous reset and processor clock
28
  .i_rst                (s_rst),
29
  .i_clk                (s_clk),
30
  // 8-bit test values
31
  .o_value              (s_value),
32
  .o_value_wr           (s_value_wr),
33
  // termination strobe
34
  .o_terminate_str      (s_terminate_str)
35
);
36
 
37
always @ (posedge s_value_wr)
38
  $display("%02h", s_value);
39
 
40
always @ (posedge s_terminate_str)
41
  $finish;
42
 
43
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.