OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [lib/] [9x8/] [tb/] [cmp_8bit_uu/] [uc.9x8] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
# Copyright 2013, Sinclair R.F., Inc.
2 4 sinclairrf
# Test bench for the icomparison math library.
3 2 sinclairrf
 
4
ARCHITECTURE core/9x8 Verilog
5
 
6
INSTRUCTION     1024
7
DATA_STACK      32
8
RETURN_STACK    32
9
 
10
PORTCOMMENT 8-bit test values
11
OUTPORT 8-bit,strobe   o_value,o_value_wr O_VALUE
12
 
13
PORTCOMMENT termination strobe
14
OUTPORT strobe  o_terminate_str O_TERMINATE
15
 
16
ASSEMBLY uc.s

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.