OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [lib/] [9x8/] [tb/] [math/] [uc.9x8] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 sinclairrf
# Copyright 2014, Sinclair R.F., Inc.
2
# Test bench for the math library.
3
 
4
ARCHITECTURE core/9x8 Verilog
5
 
6
INSTRUCTION     1024
7
DATA_STACK      128
8
RETURN_STACK    32
9
 
10
PORTCOMMENT     32-bit addition result
11
PERIPHERAL      big_outport     outport=O_VALUE         \
12
                                outsignal=o_value       \
13
                                width=96
14
OUTPORT         strobe          o_value_done            \
15
                                O_VALUE_DONE
16
 
17
PORTCOMMENT termination strobe
18
OUTPORT         strobe          o_terminate             \
19
                                O_TERMINATE
20
 
21
ASSEMBLY uc.s

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.