OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [tags/] [vlsi/] [key_regulator/] [leftshiftregister10.vst] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `leftshiftregister10`
2
--              date : Tue Jul 31 10:17:28 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY leftshiftregister10 IS
8
  PORT (
9
  p : in BIT_VECTOR (16 DOWNTO 0);      -- p
10
  q : in BIT;   -- q
11
  r : out BIT_VECTOR (33 DOWNTO 0);     -- r
12
  vdd : in BIT; -- vdd
13
  vss : in BIT  -- vss
14
  );
15
END leftshiftregister10;
16
 
17
-- Architecture Declaration
18
 
19
ARCHITECTURE VST OF leftshiftregister10 IS
20
  COMPONENT a2_x2
21
    port (
22
    i0 : in BIT;        -- i0
23
    i1 : in BIT;        -- i1
24
    q : out BIT;        -- q
25
    vdd : in BIT;       -- vdd
26
    vss : in BIT        -- vss
27
    );
28
  END COMPONENT;
29
 
30
  COMPONENT zero_x0
31
    port (
32
    nq : out BIT;       -- nq
33
    vdd : in BIT;       -- vdd
34
    vss : in BIT        -- vss
35
    );
36
  END COMPONENT;
37
 
38
 
39
BEGIN
40
 
41
  r_0 : zero_x0
42
    PORT MAP (
43
    vss => vss,
44
    vdd => vdd,
45
    nq => r(0));
46
  r_1 : zero_x0
47
    PORT MAP (
48
    vss => vss,
49
    vdd => vdd,
50
    nq => r(1));
51
  r_2 : zero_x0
52
    PORT MAP (
53
    vss => vss,
54
    vdd => vdd,
55
    nq => r(2));
56
  r_3 : zero_x0
57
    PORT MAP (
58
    vss => vss,
59
    vdd => vdd,
60
    nq => r(3));
61
  r_4 : zero_x0
62
    PORT MAP (
63
    vss => vss,
64
    vdd => vdd,
65
    nq => r(4));
66
  r_5 : zero_x0
67
    PORT MAP (
68
    vss => vss,
69
    vdd => vdd,
70
    nq => r(5));
71
  r_6 : zero_x0
72
    PORT MAP (
73
    vss => vss,
74
    vdd => vdd,
75
    nq => r(6));
76
  r_7 : zero_x0
77
    PORT MAP (
78
    vss => vss,
79
    vdd => vdd,
80
    nq => r(7));
81
  r_8 : zero_x0
82
    PORT MAP (
83
    vss => vss,
84
    vdd => vdd,
85
    nq => r(8));
86
  r_9 : zero_x0
87
    PORT MAP (
88
    vss => vss,
89
    vdd => vdd,
90
    nq => r(9));
91
  r_10 : a2_x2
92
    PORT MAP (
93
    vss => vss,
94
    vdd => vdd,
95
    q => r(10),
96
    i1 => p(0),
97
    i0 => q);
98
  r_11 : a2_x2
99
    PORT MAP (
100
    vss => vss,
101
    vdd => vdd,
102
    q => r(11),
103
    i1 => p(1),
104
    i0 => q);
105
  r_12 : a2_x2
106
    PORT MAP (
107
    vss => vss,
108
    vdd => vdd,
109
    q => r(12),
110
    i1 => p(2),
111
    i0 => q);
112
  r_13 : a2_x2
113
    PORT MAP (
114
    vss => vss,
115
    vdd => vdd,
116
    q => r(13),
117
    i1 => p(3),
118
    i0 => q);
119
  r_14 : a2_x2
120
    PORT MAP (
121
    vss => vss,
122
    vdd => vdd,
123
    q => r(14),
124
    i1 => p(4),
125
    i0 => q);
126
  r_15 : a2_x2
127
    PORT MAP (
128
    vss => vss,
129
    vdd => vdd,
130
    q => r(15),
131
    i1 => p(5),
132
    i0 => q);
133
  r_16 : a2_x2
134
    PORT MAP (
135
    vss => vss,
136
    vdd => vdd,
137
    q => r(16),
138
    i1 => p(6),
139
    i0 => q);
140
  r_17 : a2_x2
141
    PORT MAP (
142
    vss => vss,
143
    vdd => vdd,
144
    q => r(17),
145
    i1 => p(7),
146
    i0 => q);
147
  r_18 : a2_x2
148
    PORT MAP (
149
    vss => vss,
150
    vdd => vdd,
151
    q => r(18),
152
    i1 => p(8),
153
    i0 => q);
154
  r_19 : a2_x2
155
    PORT MAP (
156
    vss => vss,
157
    vdd => vdd,
158
    q => r(19),
159
    i1 => p(9),
160
    i0 => q);
161
  r_20 : a2_x2
162
    PORT MAP (
163
    vss => vss,
164
    vdd => vdd,
165
    q => r(20),
166
    i1 => p(10),
167
    i0 => q);
168
  r_21 : a2_x2
169
    PORT MAP (
170
    vss => vss,
171
    vdd => vdd,
172
    q => r(21),
173
    i1 => p(11),
174
    i0 => q);
175
  r_22 : a2_x2
176
    PORT MAP (
177
    vss => vss,
178
    vdd => vdd,
179
    q => r(22),
180
    i1 => p(12),
181
    i0 => q);
182
  r_23 : a2_x2
183
    PORT MAP (
184
    vss => vss,
185
    vdd => vdd,
186
    q => r(23),
187
    i1 => p(13),
188
    i0 => q);
189
  r_24 : a2_x2
190
    PORT MAP (
191
    vss => vss,
192
    vdd => vdd,
193
    q => r(24),
194
    i1 => p(14),
195
    i0 => q);
196
  r_25 : a2_x2
197
    PORT MAP (
198
    vss => vss,
199
    vdd => vdd,
200
    q => r(25),
201
    i1 => p(15),
202
    i0 => q);
203
  r_26 : a2_x2
204
    PORT MAP (
205
    vss => vss,
206
    vdd => vdd,
207
    q => r(26),
208
    i1 => p(16),
209
    i0 => q);
210
  r_27 : zero_x0
211
    PORT MAP (
212
    vss => vss,
213
    vdd => vdd,
214
    nq => r(27));
215
  r_28 : zero_x0
216
    PORT MAP (
217
    vss => vss,
218
    vdd => vdd,
219
    nq => r(28));
220
  r_29 : zero_x0
221
    PORT MAP (
222
    vss => vss,
223
    vdd => vdd,
224
    nq => r(29));
225
  r_30 : zero_x0
226
    PORT MAP (
227
    vss => vss,
228
    vdd => vdd,
229
    nq => r(30));
230
  r_31 : zero_x0
231
    PORT MAP (
232
    vss => vss,
233
    vdd => vdd,
234
    nq => r(31));
235
  r_32 : zero_x0
236
    PORT MAP (
237
    vss => vss,
238
    vdd => vdd,
239
    nq => r(32));
240
  r_33 : zero_x0
241
    PORT MAP (
242
    vss => vss,
243
    vdd => vdd,
244
    nq => r(33));
245
 
246
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.