OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [tags/] [vlsi/] [key_regulator/] [mux128.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `mux128`
2
--              date : Thu Jul 26 02:14:49 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY mux128 IS
8
  PORT (
9
  a : in BIT_VECTOR (127 DOWNTO 0);     -- a
10
  b : in BIT_VECTOR (127 DOWNTO 0);     -- b
11
  sel : in BIT; -- sel
12
  c : out BIT_VECTOR (127 DOWNTO 0);    -- c
13
  vdd : in BIT; -- vdd
14
  vss : in BIT  -- vss
15
  );
16
END mux128;
17
 
18
-- Architecture Declaration
19
 
20
ARCHITECTURE VST OF mux128 IS
21
  COMPONENT nao22_x1
22
    port (
23
    i0 : in BIT;        -- i0
24
    i1 : in BIT;        -- i1
25
    i2 : in BIT;        -- i2
26
    nq : out BIT;       -- nq
27
    vdd : in BIT;       -- vdd
28
    vss : in BIT        -- vss
29
    );
30
  END COMPONENT;
31
 
32
  COMPONENT na2_x1
33
    port (
34
    i0 : in BIT;        -- i0
35
    i1 : in BIT;        -- i1
36
    nq : out BIT;       -- nq
37
    vdd : in BIT;       -- vdd
38
    vss : in BIT        -- vss
39
    );
40
  END COMPONENT;
41
 
42
  COMPONENT inv_x1
43
    port (
44
    i : in BIT; -- i
45
    nq : out BIT;       -- nq
46
    vdd : in BIT;       -- vdd
47
    vss : in BIT        -- vss
48
    );
49
  END COMPONENT;
50
 
51
  SIGNAL auxsc4 : BIT;  -- auxsc4
52
  SIGNAL auxsc5 : BIT;  -- auxsc5
53
  SIGNAL auxsc9 : BIT;  -- auxsc9
54
  SIGNAL auxsc10 : BIT; -- auxsc10
55
  SIGNAL auxsc14 : BIT; -- auxsc14
56
  SIGNAL auxsc15 : BIT; -- auxsc15
57
  SIGNAL auxsc19 : BIT; -- auxsc19
58
  SIGNAL auxsc20 : BIT; -- auxsc20
59
  SIGNAL auxsc24 : BIT; -- auxsc24
60
  SIGNAL auxsc25 : BIT; -- auxsc25
61
  SIGNAL auxsc29 : BIT; -- auxsc29
62
  SIGNAL auxsc30 : BIT; -- auxsc30
63
  SIGNAL auxsc34 : BIT; -- auxsc34
64
  SIGNAL auxsc35 : BIT; -- auxsc35
65
  SIGNAL auxsc39 : BIT; -- auxsc39
66
  SIGNAL auxsc40 : BIT; -- auxsc40
67
  SIGNAL auxsc44 : BIT; -- auxsc44
68
  SIGNAL auxsc45 : BIT; -- auxsc45
69
  SIGNAL auxsc49 : BIT; -- auxsc49
70
  SIGNAL auxsc50 : BIT; -- auxsc50
71
  SIGNAL auxsc54 : BIT; -- auxsc54
72
  SIGNAL auxsc55 : BIT; -- auxsc55
73
  SIGNAL auxsc59 : BIT; -- auxsc59
74
  SIGNAL auxsc60 : BIT; -- auxsc60
75
  SIGNAL auxsc64 : BIT; -- auxsc64
76
  SIGNAL auxsc65 : BIT; -- auxsc65
77
  SIGNAL auxsc69 : BIT; -- auxsc69
78
  SIGNAL auxsc70 : BIT; -- auxsc70
79
  SIGNAL auxsc74 : BIT; -- auxsc74
80
  SIGNAL auxsc75 : BIT; -- auxsc75
81
  SIGNAL auxsc79 : BIT; -- auxsc79
82
  SIGNAL auxsc80 : BIT; -- auxsc80
83
  SIGNAL auxsc84 : BIT; -- auxsc84
84
  SIGNAL auxsc85 : BIT; -- auxsc85
85
  SIGNAL auxsc89 : BIT; -- auxsc89
86
  SIGNAL auxsc90 : BIT; -- auxsc90
87
  SIGNAL auxsc94 : BIT; -- auxsc94
88
  SIGNAL auxsc95 : BIT; -- auxsc95
89
  SIGNAL auxsc99 : BIT; -- auxsc99
90
  SIGNAL auxsc100 : BIT;        -- auxsc100
91
  SIGNAL auxsc104 : BIT;        -- auxsc104
92
  SIGNAL auxsc105 : BIT;        -- auxsc105
93
  SIGNAL auxsc109 : BIT;        -- auxsc109
94
  SIGNAL auxsc110 : BIT;        -- auxsc110
95
  SIGNAL auxsc114 : BIT;        -- auxsc114
96
  SIGNAL auxsc115 : BIT;        -- auxsc115
97
  SIGNAL auxsc119 : BIT;        -- auxsc119
98
  SIGNAL auxsc120 : BIT;        -- auxsc120
99
  SIGNAL auxsc124 : BIT;        -- auxsc124
100
  SIGNAL auxsc125 : BIT;        -- auxsc125
101
  SIGNAL auxsc129 : BIT;        -- auxsc129
102
  SIGNAL auxsc130 : BIT;        -- auxsc130
103
  SIGNAL auxsc134 : BIT;        -- auxsc134
104
  SIGNAL auxsc135 : BIT;        -- auxsc135
105
  SIGNAL auxsc139 : BIT;        -- auxsc139
106
  SIGNAL auxsc140 : BIT;        -- auxsc140
107
  SIGNAL auxsc144 : BIT;        -- auxsc144
108
  SIGNAL auxsc145 : BIT;        -- auxsc145
109
  SIGNAL auxsc149 : BIT;        -- auxsc149
110
  SIGNAL auxsc150 : BIT;        -- auxsc150
111
  SIGNAL auxsc154 : BIT;        -- auxsc154
112
  SIGNAL auxsc155 : BIT;        -- auxsc155
113
  SIGNAL auxsc159 : BIT;        -- auxsc159
114
  SIGNAL auxsc160 : BIT;        -- auxsc160
115
  SIGNAL auxsc164 : BIT;        -- auxsc164
116
  SIGNAL auxsc165 : BIT;        -- auxsc165
117
  SIGNAL auxsc169 : BIT;        -- auxsc169
118
  SIGNAL auxsc170 : BIT;        -- auxsc170
119
  SIGNAL auxsc174 : BIT;        -- auxsc174
120
  SIGNAL auxsc175 : BIT;        -- auxsc175
121
  SIGNAL auxsc179 : BIT;        -- auxsc179
122
  SIGNAL auxsc180 : BIT;        -- auxsc180
123
  SIGNAL auxsc184 : BIT;        -- auxsc184
124
  SIGNAL auxsc185 : BIT;        -- auxsc185
125
  SIGNAL auxsc189 : BIT;        -- auxsc189
126
  SIGNAL auxsc190 : BIT;        -- auxsc190
127
  SIGNAL auxsc194 : BIT;        -- auxsc194
128
  SIGNAL auxsc195 : BIT;        -- auxsc195
129
  SIGNAL auxsc199 : BIT;        -- auxsc199
130
  SIGNAL auxsc200 : BIT;        -- auxsc200
131
  SIGNAL auxsc204 : BIT;        -- auxsc204
132
  SIGNAL auxsc205 : BIT;        -- auxsc205
133
  SIGNAL auxsc209 : BIT;        -- auxsc209
134
  SIGNAL auxsc210 : BIT;        -- auxsc210
135
  SIGNAL auxsc214 : BIT;        -- auxsc214
136
  SIGNAL auxsc215 : BIT;        -- auxsc215
137
  SIGNAL auxsc219 : BIT;        -- auxsc219
138
  SIGNAL auxsc220 : BIT;        -- auxsc220
139
  SIGNAL auxsc224 : BIT;        -- auxsc224
140
  SIGNAL auxsc225 : BIT;        -- auxsc225
141
  SIGNAL auxsc229 : BIT;        -- auxsc229
142
  SIGNAL auxsc230 : BIT;        -- auxsc230
143
  SIGNAL auxsc234 : BIT;        -- auxsc234
144
  SIGNAL auxsc235 : BIT;        -- auxsc235
145
  SIGNAL auxsc239 : BIT;        -- auxsc239
146
  SIGNAL auxsc240 : BIT;        -- auxsc240
147
  SIGNAL auxsc244 : BIT;        -- auxsc244
148
  SIGNAL auxsc245 : BIT;        -- auxsc245
149
  SIGNAL auxsc249 : BIT;        -- auxsc249
150
  SIGNAL auxsc250 : BIT;        -- auxsc250
151
  SIGNAL auxsc254 : BIT;        -- auxsc254
152
  SIGNAL auxsc255 : BIT;        -- auxsc255
153
  SIGNAL auxsc259 : BIT;        -- auxsc259
154
  SIGNAL auxsc260 : BIT;        -- auxsc260
155
  SIGNAL auxsc264 : BIT;        -- auxsc264
156
  SIGNAL auxsc265 : BIT;        -- auxsc265
157
  SIGNAL auxsc269 : BIT;        -- auxsc269
158
  SIGNAL auxsc270 : BIT;        -- auxsc270
159
  SIGNAL auxsc274 : BIT;        -- auxsc274
160
  SIGNAL auxsc275 : BIT;        -- auxsc275
161
  SIGNAL auxsc279 : BIT;        -- auxsc279
162
  SIGNAL auxsc280 : BIT;        -- auxsc280
163
  SIGNAL auxsc284 : BIT;        -- auxsc284
164
  SIGNAL auxsc285 : BIT;        -- auxsc285
165
  SIGNAL auxsc289 : BIT;        -- auxsc289
166
  SIGNAL auxsc290 : BIT;        -- auxsc290
167
  SIGNAL auxsc294 : BIT;        -- auxsc294
168
  SIGNAL auxsc295 : BIT;        -- auxsc295
169
  SIGNAL auxsc299 : BIT;        -- auxsc299
170
  SIGNAL auxsc300 : BIT;        -- auxsc300
171
  SIGNAL auxsc304 : BIT;        -- auxsc304
172
  SIGNAL auxsc305 : BIT;        -- auxsc305
173
  SIGNAL auxsc309 : BIT;        -- auxsc309
174
  SIGNAL auxsc310 : BIT;        -- auxsc310
175
  SIGNAL auxsc314 : BIT;        -- auxsc314
176
  SIGNAL auxsc315 : BIT;        -- auxsc315
177
  SIGNAL auxsc319 : BIT;        -- auxsc319
178
  SIGNAL auxsc320 : BIT;        -- auxsc320
179
  SIGNAL auxsc324 : BIT;        -- auxsc324
180
  SIGNAL auxsc325 : BIT;        -- auxsc325
181
  SIGNAL auxsc329 : BIT;        -- auxsc329
182
  SIGNAL auxsc330 : BIT;        -- auxsc330
183
  SIGNAL auxsc334 : BIT;        -- auxsc334
184
  SIGNAL auxsc335 : BIT;        -- auxsc335
185
  SIGNAL auxsc339 : BIT;        -- auxsc339
186
  SIGNAL auxsc340 : BIT;        -- auxsc340
187
  SIGNAL auxsc344 : BIT;        -- auxsc344
188
  SIGNAL auxsc345 : BIT;        -- auxsc345
189
  SIGNAL auxsc349 : BIT;        -- auxsc349
190
  SIGNAL auxsc350 : BIT;        -- auxsc350
191
  SIGNAL auxsc354 : BIT;        -- auxsc354
192
  SIGNAL auxsc355 : BIT;        -- auxsc355
193
  SIGNAL auxsc359 : BIT;        -- auxsc359
194
  SIGNAL auxsc360 : BIT;        -- auxsc360
195
  SIGNAL auxsc364 : BIT;        -- auxsc364
196
  SIGNAL auxsc365 : BIT;        -- auxsc365
197
  SIGNAL auxsc369 : BIT;        -- auxsc369
198
  SIGNAL auxsc370 : BIT;        -- auxsc370
199
  SIGNAL auxsc374 : BIT;        -- auxsc374
200
  SIGNAL auxsc375 : BIT;        -- auxsc375
201
  SIGNAL auxsc379 : BIT;        -- auxsc379
202
  SIGNAL auxsc380 : BIT;        -- auxsc380
203
  SIGNAL auxsc384 : BIT;        -- auxsc384
204
  SIGNAL auxsc385 : BIT;        -- auxsc385
205
  SIGNAL auxsc389 : BIT;        -- auxsc389
206
  SIGNAL auxsc390 : BIT;        -- auxsc390
207
  SIGNAL auxsc394 : BIT;        -- auxsc394
208
  SIGNAL auxsc395 : BIT;        -- auxsc395
209
  SIGNAL auxsc399 : BIT;        -- auxsc399
210
  SIGNAL auxsc400 : BIT;        -- auxsc400
211
  SIGNAL auxsc404 : BIT;        -- auxsc404
212
  SIGNAL auxsc405 : BIT;        -- auxsc405
213
  SIGNAL auxsc409 : BIT;        -- auxsc409
214
  SIGNAL auxsc410 : BIT;        -- auxsc410
215
  SIGNAL auxsc414 : BIT;        -- auxsc414
216
  SIGNAL auxsc415 : BIT;        -- auxsc415
217
  SIGNAL auxsc419 : BIT;        -- auxsc419
218
  SIGNAL auxsc420 : BIT;        -- auxsc420
219
  SIGNAL auxsc424 : BIT;        -- auxsc424
220
  SIGNAL auxsc425 : BIT;        -- auxsc425
221
  SIGNAL auxsc429 : BIT;        -- auxsc429
222
  SIGNAL auxsc430 : BIT;        -- auxsc430
223
  SIGNAL auxsc434 : BIT;        -- auxsc434
224
  SIGNAL auxsc435 : BIT;        -- auxsc435
225
  SIGNAL auxsc439 : BIT;        -- auxsc439
226
  SIGNAL auxsc440 : BIT;        -- auxsc440
227
  SIGNAL auxsc444 : BIT;        -- auxsc444
228
  SIGNAL auxsc445 : BIT;        -- auxsc445
229
  SIGNAL auxsc449 : BIT;        -- auxsc449
230
  SIGNAL auxsc450 : BIT;        -- auxsc450
231
  SIGNAL auxsc454 : BIT;        -- auxsc454
232
  SIGNAL auxsc455 : BIT;        -- auxsc455
233
  SIGNAL auxsc459 : BIT;        -- auxsc459
234
  SIGNAL auxsc460 : BIT;        -- auxsc460
235
  SIGNAL auxsc464 : BIT;        -- auxsc464
236
  SIGNAL auxsc465 : BIT;        -- auxsc465
237
  SIGNAL auxsc469 : BIT;        -- auxsc469
238
  SIGNAL auxsc470 : BIT;        -- auxsc470
239
  SIGNAL auxsc474 : BIT;        -- auxsc474
240
  SIGNAL auxsc475 : BIT;        -- auxsc475
241
  SIGNAL auxsc479 : BIT;        -- auxsc479
242
  SIGNAL auxsc480 : BIT;        -- auxsc480
243
  SIGNAL auxsc484 : BIT;        -- auxsc484
244
  SIGNAL auxsc485 : BIT;        -- auxsc485
245
  SIGNAL auxsc489 : BIT;        -- auxsc489
246
  SIGNAL auxsc490 : BIT;        -- auxsc490
247
  SIGNAL auxsc494 : BIT;        -- auxsc494
248
  SIGNAL auxsc495 : BIT;        -- auxsc495
249
  SIGNAL auxsc499 : BIT;        -- auxsc499
250
  SIGNAL auxsc500 : BIT;        -- auxsc500
251
  SIGNAL auxsc504 : BIT;        -- auxsc504
252
  SIGNAL auxsc505 : BIT;        -- auxsc505
253
  SIGNAL auxsc509 : BIT;        -- auxsc509
254
  SIGNAL auxsc510 : BIT;        -- auxsc510
255
  SIGNAL auxsc514 : BIT;        -- auxsc514
256
  SIGNAL auxsc515 : BIT;        -- auxsc515
257
  SIGNAL auxsc519 : BIT;        -- auxsc519
258
  SIGNAL auxsc520 : BIT;        -- auxsc520
259
  SIGNAL auxsc524 : BIT;        -- auxsc524
260
  SIGNAL auxsc525 : BIT;        -- auxsc525
261
  SIGNAL auxsc529 : BIT;        -- auxsc529
262
  SIGNAL auxsc530 : BIT;        -- auxsc530
263
  SIGNAL auxsc534 : BIT;        -- auxsc534
264
  SIGNAL auxsc535 : BIT;        -- auxsc535
265
  SIGNAL auxsc539 : BIT;        -- auxsc539
266
  SIGNAL auxsc540 : BIT;        -- auxsc540
267
  SIGNAL auxsc544 : BIT;        -- auxsc544
268
  SIGNAL auxsc545 : BIT;        -- auxsc545
269
  SIGNAL auxsc549 : BIT;        -- auxsc549
270
  SIGNAL auxsc550 : BIT;        -- auxsc550
271
  SIGNAL auxsc554 : BIT;        -- auxsc554
272
  SIGNAL auxsc555 : BIT;        -- auxsc555
273
  SIGNAL auxsc559 : BIT;        -- auxsc559
274
  SIGNAL auxsc560 : BIT;        -- auxsc560
275
  SIGNAL auxsc564 : BIT;        -- auxsc564
276
  SIGNAL auxsc565 : BIT;        -- auxsc565
277
  SIGNAL auxsc569 : BIT;        -- auxsc569
278
  SIGNAL auxsc570 : BIT;        -- auxsc570
279
  SIGNAL auxsc574 : BIT;        -- auxsc574
280
  SIGNAL auxsc575 : BIT;        -- auxsc575
281
  SIGNAL auxsc579 : BIT;        -- auxsc579
282
  SIGNAL auxsc580 : BIT;        -- auxsc580
283
  SIGNAL auxsc584 : BIT;        -- auxsc584
284
  SIGNAL auxsc585 : BIT;        -- auxsc585
285
  SIGNAL auxsc589 : BIT;        -- auxsc589
286
  SIGNAL auxsc590 : BIT;        -- auxsc590
287
  SIGNAL auxsc594 : BIT;        -- auxsc594
288
  SIGNAL auxsc595 : BIT;        -- auxsc595
289
  SIGNAL auxsc599 : BIT;        -- auxsc599
290
  SIGNAL auxsc600 : BIT;        -- auxsc600
291
  SIGNAL auxsc604 : BIT;        -- auxsc604
292
  SIGNAL auxsc605 : BIT;        -- auxsc605
293
  SIGNAL auxsc609 : BIT;        -- auxsc609
294
  SIGNAL auxsc610 : BIT;        -- auxsc610
295
  SIGNAL auxsc614 : BIT;        -- auxsc614
296
  SIGNAL auxsc615 : BIT;        -- auxsc615
297
  SIGNAL auxsc619 : BIT;        -- auxsc619
298
  SIGNAL auxsc620 : BIT;        -- auxsc620
299
  SIGNAL auxsc624 : BIT;        -- auxsc624
300
  SIGNAL auxsc625 : BIT;        -- auxsc625
301
  SIGNAL auxsc629 : BIT;        -- auxsc629
302
  SIGNAL auxsc630 : BIT;        -- auxsc630
303
  SIGNAL auxsc634 : BIT;        -- auxsc634
304
  SIGNAL auxsc635 : BIT;        -- auxsc635
305
  SIGNAL auxsc639 : BIT;        -- auxsc639
306
  SIGNAL auxsc640 : BIT;        -- auxsc640
307
 
308
BEGIN
309
 
310
  c_0 : nao22_x1
311
    PORT MAP (
312
    vss => vss,
313
    vdd => vdd,
314
    nq => c(0),
315
    i2 => auxsc5,
316
    i1 => auxsc4,
317
    i0 => sel);
318
  c_1 : nao22_x1
319
    PORT MAP (
320
    vss => vss,
321
    vdd => vdd,
322
    nq => c(1),
323
    i2 => auxsc10,
324
    i1 => auxsc9,
325
    i0 => sel);
326
  c_2 : nao22_x1
327
    PORT MAP (
328
    vss => vss,
329
    vdd => vdd,
330
    nq => c(2),
331
    i2 => auxsc15,
332
    i1 => auxsc14,
333
    i0 => sel);
334
  c_3 : nao22_x1
335
    PORT MAP (
336
    vss => vss,
337
    vdd => vdd,
338
    nq => c(3),
339
    i2 => auxsc20,
340
    i1 => auxsc19,
341
    i0 => sel);
342
  c_4 : nao22_x1
343
    PORT MAP (
344
    vss => vss,
345
    vdd => vdd,
346
    nq => c(4),
347
    i2 => auxsc25,
348
    i1 => auxsc24,
349
    i0 => sel);
350
  c_5 : nao22_x1
351
    PORT MAP (
352
    vss => vss,
353
    vdd => vdd,
354
    nq => c(5),
355
    i2 => auxsc30,
356
    i1 => auxsc29,
357
    i0 => sel);
358
  c_6 : nao22_x1
359
    PORT MAP (
360
    vss => vss,
361
    vdd => vdd,
362
    nq => c(6),
363
    i2 => auxsc35,
364
    i1 => auxsc34,
365
    i0 => sel);
366
  c_7 : nao22_x1
367
    PORT MAP (
368
    vss => vss,
369
    vdd => vdd,
370
    nq => c(7),
371
    i2 => auxsc40,
372
    i1 => auxsc39,
373
    i0 => sel);
374
  c_8 : nao22_x1
375
    PORT MAP (
376
    vss => vss,
377
    vdd => vdd,
378
    nq => c(8),
379
    i2 => auxsc45,
380
    i1 => auxsc44,
381
    i0 => sel);
382
  c_9 : nao22_x1
383
    PORT MAP (
384
    vss => vss,
385
    vdd => vdd,
386
    nq => c(9),
387
    i2 => auxsc50,
388
    i1 => auxsc49,
389
    i0 => sel);
390
  c_10 : nao22_x1
391
    PORT MAP (
392
    vss => vss,
393
    vdd => vdd,
394
    nq => c(10),
395
    i2 => auxsc55,
396
    i1 => auxsc54,
397
    i0 => sel);
398
  c_11 : nao22_x1
399
    PORT MAP (
400
    vss => vss,
401
    vdd => vdd,
402
    nq => c(11),
403
    i2 => auxsc60,
404
    i1 => auxsc59,
405
    i0 => sel);
406
  c_12 : nao22_x1
407
    PORT MAP (
408
    vss => vss,
409
    vdd => vdd,
410
    nq => c(12),
411
    i2 => auxsc65,
412
    i1 => auxsc64,
413
    i0 => sel);
414
  c_13 : nao22_x1
415
    PORT MAP (
416
    vss => vss,
417
    vdd => vdd,
418
    nq => c(13),
419
    i2 => auxsc70,
420
    i1 => auxsc69,
421
    i0 => sel);
422
  c_14 : nao22_x1
423
    PORT MAP (
424
    vss => vss,
425
    vdd => vdd,
426
    nq => c(14),
427
    i2 => auxsc75,
428
    i1 => auxsc74,
429
    i0 => sel);
430
  c_15 : nao22_x1
431
    PORT MAP (
432
    vss => vss,
433
    vdd => vdd,
434
    nq => c(15),
435
    i2 => auxsc80,
436
    i1 => auxsc79,
437
    i0 => sel);
438
  c_16 : nao22_x1
439
    PORT MAP (
440
    vss => vss,
441
    vdd => vdd,
442
    nq => c(16),
443
    i2 => auxsc85,
444
    i1 => auxsc84,
445
    i0 => sel);
446
  c_17 : nao22_x1
447
    PORT MAP (
448
    vss => vss,
449
    vdd => vdd,
450
    nq => c(17),
451
    i2 => auxsc90,
452
    i1 => auxsc89,
453
    i0 => sel);
454
  c_18 : nao22_x1
455
    PORT MAP (
456
    vss => vss,
457
    vdd => vdd,
458
    nq => c(18),
459
    i2 => auxsc95,
460
    i1 => auxsc94,
461
    i0 => sel);
462
  c_19 : nao22_x1
463
    PORT MAP (
464
    vss => vss,
465
    vdd => vdd,
466
    nq => c(19),
467
    i2 => auxsc100,
468
    i1 => auxsc99,
469
    i0 => sel);
470
  c_20 : nao22_x1
471
    PORT MAP (
472
    vss => vss,
473
    vdd => vdd,
474
    nq => c(20),
475
    i2 => auxsc105,
476
    i1 => auxsc104,
477
    i0 => sel);
478
  c_21 : nao22_x1
479
    PORT MAP (
480
    vss => vss,
481
    vdd => vdd,
482
    nq => c(21),
483
    i2 => auxsc110,
484
    i1 => auxsc109,
485
    i0 => sel);
486
  c_22 : nao22_x1
487
    PORT MAP (
488
    vss => vss,
489
    vdd => vdd,
490
    nq => c(22),
491
    i2 => auxsc115,
492
    i1 => auxsc114,
493
    i0 => sel);
494
  c_23 : nao22_x1
495
    PORT MAP (
496
    vss => vss,
497
    vdd => vdd,
498
    nq => c(23),
499
    i2 => auxsc120,
500
    i1 => auxsc119,
501
    i0 => sel);
502
  c_24 : nao22_x1
503
    PORT MAP (
504
    vss => vss,
505
    vdd => vdd,
506
    nq => c(24),
507
    i2 => auxsc125,
508
    i1 => auxsc124,
509
    i0 => sel);
510
  c_25 : nao22_x1
511
    PORT MAP (
512
    vss => vss,
513
    vdd => vdd,
514
    nq => c(25),
515
    i2 => auxsc130,
516
    i1 => auxsc129,
517
    i0 => sel);
518
  c_26 : nao22_x1
519
    PORT MAP (
520
    vss => vss,
521
    vdd => vdd,
522
    nq => c(26),
523
    i2 => auxsc135,
524
    i1 => auxsc134,
525
    i0 => sel);
526
  c_27 : nao22_x1
527
    PORT MAP (
528
    vss => vss,
529
    vdd => vdd,
530
    nq => c(27),
531
    i2 => auxsc140,
532
    i1 => auxsc139,
533
    i0 => sel);
534
  c_28 : nao22_x1
535
    PORT MAP (
536
    vss => vss,
537
    vdd => vdd,
538
    nq => c(28),
539
    i2 => auxsc145,
540
    i1 => auxsc144,
541
    i0 => sel);
542
  c_29 : nao22_x1
543
    PORT MAP (
544
    vss => vss,
545
    vdd => vdd,
546
    nq => c(29),
547
    i2 => auxsc150,
548
    i1 => auxsc149,
549
    i0 => sel);
550
  c_30 : nao22_x1
551
    PORT MAP (
552
    vss => vss,
553
    vdd => vdd,
554
    nq => c(30),
555
    i2 => auxsc155,
556
    i1 => auxsc154,
557
    i0 => sel);
558
  c_31 : nao22_x1
559
    PORT MAP (
560
    vss => vss,
561
    vdd => vdd,
562
    nq => c(31),
563
    i2 => auxsc160,
564
    i1 => auxsc159,
565
    i0 => sel);
566
  c_32 : nao22_x1
567
    PORT MAP (
568
    vss => vss,
569
    vdd => vdd,
570
    nq => c(32),
571
    i2 => auxsc165,
572
    i1 => auxsc164,
573
    i0 => sel);
574
  c_33 : nao22_x1
575
    PORT MAP (
576
    vss => vss,
577
    vdd => vdd,
578
    nq => c(33),
579
    i2 => auxsc170,
580
    i1 => auxsc169,
581
    i0 => sel);
582
  c_34 : nao22_x1
583
    PORT MAP (
584
    vss => vss,
585
    vdd => vdd,
586
    nq => c(34),
587
    i2 => auxsc175,
588
    i1 => auxsc174,
589
    i0 => sel);
590
  c_35 : nao22_x1
591
    PORT MAP (
592
    vss => vss,
593
    vdd => vdd,
594
    nq => c(35),
595
    i2 => auxsc180,
596
    i1 => auxsc179,
597
    i0 => sel);
598
  c_36 : nao22_x1
599
    PORT MAP (
600
    vss => vss,
601
    vdd => vdd,
602
    nq => c(36),
603
    i2 => auxsc185,
604
    i1 => auxsc184,
605
    i0 => sel);
606
  c_37 : nao22_x1
607
    PORT MAP (
608
    vss => vss,
609
    vdd => vdd,
610
    nq => c(37),
611
    i2 => auxsc190,
612
    i1 => auxsc189,
613
    i0 => sel);
614
  c_38 : nao22_x1
615
    PORT MAP (
616
    vss => vss,
617
    vdd => vdd,
618
    nq => c(38),
619
    i2 => auxsc195,
620
    i1 => auxsc194,
621
    i0 => sel);
622
  c_39 : nao22_x1
623
    PORT MAP (
624
    vss => vss,
625
    vdd => vdd,
626
    nq => c(39),
627
    i2 => auxsc200,
628
    i1 => auxsc199,
629
    i0 => sel);
630
  c_40 : nao22_x1
631
    PORT MAP (
632
    vss => vss,
633
    vdd => vdd,
634
    nq => c(40),
635
    i2 => auxsc205,
636
    i1 => auxsc204,
637
    i0 => sel);
638
  c_41 : nao22_x1
639
    PORT MAP (
640
    vss => vss,
641
    vdd => vdd,
642
    nq => c(41),
643
    i2 => auxsc210,
644
    i1 => auxsc209,
645
    i0 => sel);
646
  c_42 : nao22_x1
647
    PORT MAP (
648
    vss => vss,
649
    vdd => vdd,
650
    nq => c(42),
651
    i2 => auxsc215,
652
    i1 => auxsc214,
653
    i0 => sel);
654
  c_43 : nao22_x1
655
    PORT MAP (
656
    vss => vss,
657
    vdd => vdd,
658
    nq => c(43),
659
    i2 => auxsc220,
660
    i1 => auxsc219,
661
    i0 => sel);
662
  c_44 : nao22_x1
663
    PORT MAP (
664
    vss => vss,
665
    vdd => vdd,
666
    nq => c(44),
667
    i2 => auxsc225,
668
    i1 => auxsc224,
669
    i0 => sel);
670
  c_45 : nao22_x1
671
    PORT MAP (
672
    vss => vss,
673
    vdd => vdd,
674
    nq => c(45),
675
    i2 => auxsc230,
676
    i1 => auxsc229,
677
    i0 => sel);
678
  c_46 : nao22_x1
679
    PORT MAP (
680
    vss => vss,
681
    vdd => vdd,
682
    nq => c(46),
683
    i2 => auxsc235,
684
    i1 => auxsc234,
685
    i0 => sel);
686
  c_47 : nao22_x1
687
    PORT MAP (
688
    vss => vss,
689
    vdd => vdd,
690
    nq => c(47),
691
    i2 => auxsc240,
692
    i1 => auxsc239,
693
    i0 => sel);
694
  c_48 : nao22_x1
695
    PORT MAP (
696
    vss => vss,
697
    vdd => vdd,
698
    nq => c(48),
699
    i2 => auxsc245,
700
    i1 => auxsc244,
701
    i0 => sel);
702
  c_49 : nao22_x1
703
    PORT MAP (
704
    vss => vss,
705
    vdd => vdd,
706
    nq => c(49),
707
    i2 => auxsc250,
708
    i1 => auxsc249,
709
    i0 => sel);
710
  c_50 : nao22_x1
711
    PORT MAP (
712
    vss => vss,
713
    vdd => vdd,
714
    nq => c(50),
715
    i2 => auxsc255,
716
    i1 => auxsc254,
717
    i0 => sel);
718
  c_51 : nao22_x1
719
    PORT MAP (
720
    vss => vss,
721
    vdd => vdd,
722
    nq => c(51),
723
    i2 => auxsc260,
724
    i1 => auxsc259,
725
    i0 => sel);
726
  c_52 : nao22_x1
727
    PORT MAP (
728
    vss => vss,
729
    vdd => vdd,
730
    nq => c(52),
731
    i2 => auxsc265,
732
    i1 => auxsc264,
733
    i0 => sel);
734
  c_53 : nao22_x1
735
    PORT MAP (
736
    vss => vss,
737
    vdd => vdd,
738
    nq => c(53),
739
    i2 => auxsc270,
740
    i1 => auxsc269,
741
    i0 => sel);
742
  c_54 : nao22_x1
743
    PORT MAP (
744
    vss => vss,
745
    vdd => vdd,
746
    nq => c(54),
747
    i2 => auxsc275,
748
    i1 => auxsc274,
749
    i0 => sel);
750
  c_55 : nao22_x1
751
    PORT MAP (
752
    vss => vss,
753
    vdd => vdd,
754
    nq => c(55),
755
    i2 => auxsc280,
756
    i1 => auxsc279,
757
    i0 => sel);
758
  c_56 : nao22_x1
759
    PORT MAP (
760
    vss => vss,
761
    vdd => vdd,
762
    nq => c(56),
763
    i2 => auxsc285,
764
    i1 => auxsc284,
765
    i0 => sel);
766
  c_57 : nao22_x1
767
    PORT MAP (
768
    vss => vss,
769
    vdd => vdd,
770
    nq => c(57),
771
    i2 => auxsc290,
772
    i1 => auxsc289,
773
    i0 => sel);
774
  c_58 : nao22_x1
775
    PORT MAP (
776
    vss => vss,
777
    vdd => vdd,
778
    nq => c(58),
779
    i2 => auxsc295,
780
    i1 => auxsc294,
781
    i0 => sel);
782
  c_59 : nao22_x1
783
    PORT MAP (
784
    vss => vss,
785
    vdd => vdd,
786
    nq => c(59),
787
    i2 => auxsc300,
788
    i1 => auxsc299,
789
    i0 => sel);
790
  c_60 : nao22_x1
791
    PORT MAP (
792
    vss => vss,
793
    vdd => vdd,
794
    nq => c(60),
795
    i2 => auxsc305,
796
    i1 => auxsc304,
797
    i0 => sel);
798
  c_61 : nao22_x1
799
    PORT MAP (
800
    vss => vss,
801
    vdd => vdd,
802
    nq => c(61),
803
    i2 => auxsc310,
804
    i1 => auxsc309,
805
    i0 => sel);
806
  c_62 : nao22_x1
807
    PORT MAP (
808
    vss => vss,
809
    vdd => vdd,
810
    nq => c(62),
811
    i2 => auxsc315,
812
    i1 => auxsc314,
813
    i0 => sel);
814
  c_63 : nao22_x1
815
    PORT MAP (
816
    vss => vss,
817
    vdd => vdd,
818
    nq => c(63),
819
    i2 => auxsc320,
820
    i1 => auxsc319,
821
    i0 => sel);
822
  c_64 : nao22_x1
823
    PORT MAP (
824
    vss => vss,
825
    vdd => vdd,
826
    nq => c(64),
827
    i2 => auxsc325,
828
    i1 => auxsc324,
829
    i0 => sel);
830
  c_65 : nao22_x1
831
    PORT MAP (
832
    vss => vss,
833
    vdd => vdd,
834
    nq => c(65),
835
    i2 => auxsc330,
836
    i1 => auxsc329,
837
    i0 => sel);
838
  c_66 : nao22_x1
839
    PORT MAP (
840
    vss => vss,
841
    vdd => vdd,
842
    nq => c(66),
843
    i2 => auxsc335,
844
    i1 => auxsc334,
845
    i0 => sel);
846
  c_67 : nao22_x1
847
    PORT MAP (
848
    vss => vss,
849
    vdd => vdd,
850
    nq => c(67),
851
    i2 => auxsc340,
852
    i1 => auxsc339,
853
    i0 => sel);
854
  c_68 : nao22_x1
855
    PORT MAP (
856
    vss => vss,
857
    vdd => vdd,
858
    nq => c(68),
859
    i2 => auxsc345,
860
    i1 => auxsc344,
861
    i0 => sel);
862
  c_69 : nao22_x1
863
    PORT MAP (
864
    vss => vss,
865
    vdd => vdd,
866
    nq => c(69),
867
    i2 => auxsc350,
868
    i1 => auxsc349,
869
    i0 => sel);
870
  c_70 : nao22_x1
871
    PORT MAP (
872
    vss => vss,
873
    vdd => vdd,
874
    nq => c(70),
875
    i2 => auxsc355,
876
    i1 => auxsc354,
877
    i0 => sel);
878
  c_71 : nao22_x1
879
    PORT MAP (
880
    vss => vss,
881
    vdd => vdd,
882
    nq => c(71),
883
    i2 => auxsc360,
884
    i1 => auxsc359,
885
    i0 => sel);
886
  c_72 : nao22_x1
887
    PORT MAP (
888
    vss => vss,
889
    vdd => vdd,
890
    nq => c(72),
891
    i2 => auxsc365,
892
    i1 => auxsc364,
893
    i0 => sel);
894
  c_73 : nao22_x1
895
    PORT MAP (
896
    vss => vss,
897
    vdd => vdd,
898
    nq => c(73),
899
    i2 => auxsc370,
900
    i1 => auxsc369,
901
    i0 => sel);
902
  c_74 : nao22_x1
903
    PORT MAP (
904
    vss => vss,
905
    vdd => vdd,
906
    nq => c(74),
907
    i2 => auxsc375,
908
    i1 => auxsc374,
909
    i0 => sel);
910
  c_75 : nao22_x1
911
    PORT MAP (
912
    vss => vss,
913
    vdd => vdd,
914
    nq => c(75),
915
    i2 => auxsc380,
916
    i1 => auxsc379,
917
    i0 => sel);
918
  c_76 : nao22_x1
919
    PORT MAP (
920
    vss => vss,
921
    vdd => vdd,
922
    nq => c(76),
923
    i2 => auxsc385,
924
    i1 => auxsc384,
925
    i0 => sel);
926
  c_77 : nao22_x1
927
    PORT MAP (
928
    vss => vss,
929
    vdd => vdd,
930
    nq => c(77),
931
    i2 => auxsc390,
932
    i1 => auxsc389,
933
    i0 => sel);
934
  c_78 : nao22_x1
935
    PORT MAP (
936
    vss => vss,
937
    vdd => vdd,
938
    nq => c(78),
939
    i2 => auxsc395,
940
    i1 => auxsc394,
941
    i0 => sel);
942
  c_79 : nao22_x1
943
    PORT MAP (
944
    vss => vss,
945
    vdd => vdd,
946
    nq => c(79),
947
    i2 => auxsc400,
948
    i1 => auxsc399,
949
    i0 => sel);
950
  c_80 : nao22_x1
951
    PORT MAP (
952
    vss => vss,
953
    vdd => vdd,
954
    nq => c(80),
955
    i2 => auxsc405,
956
    i1 => auxsc404,
957
    i0 => sel);
958
  c_81 : nao22_x1
959
    PORT MAP (
960
    vss => vss,
961
    vdd => vdd,
962
    nq => c(81),
963
    i2 => auxsc410,
964
    i1 => auxsc409,
965
    i0 => sel);
966
  c_82 : nao22_x1
967
    PORT MAP (
968
    vss => vss,
969
    vdd => vdd,
970
    nq => c(82),
971
    i2 => auxsc415,
972
    i1 => auxsc414,
973
    i0 => sel);
974
  c_83 : nao22_x1
975
    PORT MAP (
976
    vss => vss,
977
    vdd => vdd,
978
    nq => c(83),
979
    i2 => auxsc420,
980
    i1 => auxsc419,
981
    i0 => sel);
982
  c_84 : nao22_x1
983
    PORT MAP (
984
    vss => vss,
985
    vdd => vdd,
986
    nq => c(84),
987
    i2 => auxsc425,
988
    i1 => auxsc424,
989
    i0 => sel);
990
  c_85 : nao22_x1
991
    PORT MAP (
992
    vss => vss,
993
    vdd => vdd,
994
    nq => c(85),
995
    i2 => auxsc430,
996
    i1 => auxsc429,
997
    i0 => sel);
998
  c_86 : nao22_x1
999
    PORT MAP (
1000
    vss => vss,
1001
    vdd => vdd,
1002
    nq => c(86),
1003
    i2 => auxsc435,
1004
    i1 => auxsc434,
1005
    i0 => sel);
1006
  c_87 : nao22_x1
1007
    PORT MAP (
1008
    vss => vss,
1009
    vdd => vdd,
1010
    nq => c(87),
1011
    i2 => auxsc440,
1012
    i1 => auxsc439,
1013
    i0 => sel);
1014
  c_88 : nao22_x1
1015
    PORT MAP (
1016
    vss => vss,
1017
    vdd => vdd,
1018
    nq => c(88),
1019
    i2 => auxsc445,
1020
    i1 => auxsc444,
1021
    i0 => sel);
1022
  c_89 : nao22_x1
1023
    PORT MAP (
1024
    vss => vss,
1025
    vdd => vdd,
1026
    nq => c(89),
1027
    i2 => auxsc450,
1028
    i1 => auxsc449,
1029
    i0 => sel);
1030
  c_90 : nao22_x1
1031
    PORT MAP (
1032
    vss => vss,
1033
    vdd => vdd,
1034
    nq => c(90),
1035
    i2 => auxsc455,
1036
    i1 => auxsc454,
1037
    i0 => sel);
1038
  c_91 : nao22_x1
1039
    PORT MAP (
1040
    vss => vss,
1041
    vdd => vdd,
1042
    nq => c(91),
1043
    i2 => auxsc460,
1044
    i1 => auxsc459,
1045
    i0 => sel);
1046
  c_92 : nao22_x1
1047
    PORT MAP (
1048
    vss => vss,
1049
    vdd => vdd,
1050
    nq => c(92),
1051
    i2 => auxsc465,
1052
    i1 => auxsc464,
1053
    i0 => sel);
1054
  c_93 : nao22_x1
1055
    PORT MAP (
1056
    vss => vss,
1057
    vdd => vdd,
1058
    nq => c(93),
1059
    i2 => auxsc470,
1060
    i1 => auxsc469,
1061
    i0 => sel);
1062
  c_94 : nao22_x1
1063
    PORT MAP (
1064
    vss => vss,
1065
    vdd => vdd,
1066
    nq => c(94),
1067
    i2 => auxsc475,
1068
    i1 => auxsc474,
1069
    i0 => sel);
1070
  c_95 : nao22_x1
1071
    PORT MAP (
1072
    vss => vss,
1073
    vdd => vdd,
1074
    nq => c(95),
1075
    i2 => auxsc480,
1076
    i1 => auxsc479,
1077
    i0 => sel);
1078
  c_96 : nao22_x1
1079
    PORT MAP (
1080
    vss => vss,
1081
    vdd => vdd,
1082
    nq => c(96),
1083
    i2 => auxsc485,
1084
    i1 => auxsc484,
1085
    i0 => sel);
1086
  c_97 : nao22_x1
1087
    PORT MAP (
1088
    vss => vss,
1089
    vdd => vdd,
1090
    nq => c(97),
1091
    i2 => auxsc490,
1092
    i1 => auxsc489,
1093
    i0 => sel);
1094
  c_98 : nao22_x1
1095
    PORT MAP (
1096
    vss => vss,
1097
    vdd => vdd,
1098
    nq => c(98),
1099
    i2 => auxsc495,
1100
    i1 => auxsc494,
1101
    i0 => sel);
1102
  c_99 : nao22_x1
1103
    PORT MAP (
1104
    vss => vss,
1105
    vdd => vdd,
1106
    nq => c(99),
1107
    i2 => auxsc500,
1108
    i1 => auxsc499,
1109
    i0 => sel);
1110
  c_100 : nao22_x1
1111
    PORT MAP (
1112
    vss => vss,
1113
    vdd => vdd,
1114
    nq => c(100),
1115
    i2 => auxsc505,
1116
    i1 => auxsc504,
1117
    i0 => sel);
1118
  c_101 : nao22_x1
1119
    PORT MAP (
1120
    vss => vss,
1121
    vdd => vdd,
1122
    nq => c(101),
1123
    i2 => auxsc510,
1124
    i1 => auxsc509,
1125
    i0 => sel);
1126
  c_102 : nao22_x1
1127
    PORT MAP (
1128
    vss => vss,
1129
    vdd => vdd,
1130
    nq => c(102),
1131
    i2 => auxsc515,
1132
    i1 => auxsc514,
1133
    i0 => sel);
1134
  c_103 : nao22_x1
1135
    PORT MAP (
1136
    vss => vss,
1137
    vdd => vdd,
1138
    nq => c(103),
1139
    i2 => auxsc520,
1140
    i1 => auxsc519,
1141
    i0 => sel);
1142
  c_104 : nao22_x1
1143
    PORT MAP (
1144
    vss => vss,
1145
    vdd => vdd,
1146
    nq => c(104),
1147
    i2 => auxsc525,
1148
    i1 => auxsc524,
1149
    i0 => sel);
1150
  c_105 : nao22_x1
1151
    PORT MAP (
1152
    vss => vss,
1153
    vdd => vdd,
1154
    nq => c(105),
1155
    i2 => auxsc530,
1156
    i1 => auxsc529,
1157
    i0 => sel);
1158
  c_106 : nao22_x1
1159
    PORT MAP (
1160
    vss => vss,
1161
    vdd => vdd,
1162
    nq => c(106),
1163
    i2 => auxsc535,
1164
    i1 => auxsc534,
1165
    i0 => sel);
1166
  c_107 : nao22_x1
1167
    PORT MAP (
1168
    vss => vss,
1169
    vdd => vdd,
1170
    nq => c(107),
1171
    i2 => auxsc540,
1172
    i1 => auxsc539,
1173
    i0 => sel);
1174
  c_108 : nao22_x1
1175
    PORT MAP (
1176
    vss => vss,
1177
    vdd => vdd,
1178
    nq => c(108),
1179
    i2 => auxsc545,
1180
    i1 => auxsc544,
1181
    i0 => sel);
1182
  c_109 : nao22_x1
1183
    PORT MAP (
1184
    vss => vss,
1185
    vdd => vdd,
1186
    nq => c(109),
1187
    i2 => auxsc550,
1188
    i1 => auxsc549,
1189
    i0 => sel);
1190
  c_110 : nao22_x1
1191
    PORT MAP (
1192
    vss => vss,
1193
    vdd => vdd,
1194
    nq => c(110),
1195
    i2 => auxsc555,
1196
    i1 => auxsc554,
1197
    i0 => sel);
1198
  c_111 : nao22_x1
1199
    PORT MAP (
1200
    vss => vss,
1201
    vdd => vdd,
1202
    nq => c(111),
1203
    i2 => auxsc560,
1204
    i1 => auxsc559,
1205
    i0 => sel);
1206
  c_112 : nao22_x1
1207
    PORT MAP (
1208
    vss => vss,
1209
    vdd => vdd,
1210
    nq => c(112),
1211
    i2 => auxsc565,
1212
    i1 => auxsc564,
1213
    i0 => sel);
1214
  c_113 : nao22_x1
1215
    PORT MAP (
1216
    vss => vss,
1217
    vdd => vdd,
1218
    nq => c(113),
1219
    i2 => auxsc570,
1220
    i1 => auxsc569,
1221
    i0 => sel);
1222
  c_114 : nao22_x1
1223
    PORT MAP (
1224
    vss => vss,
1225
    vdd => vdd,
1226
    nq => c(114),
1227
    i2 => auxsc575,
1228
    i1 => auxsc574,
1229
    i0 => sel);
1230
  c_115 : nao22_x1
1231
    PORT MAP (
1232
    vss => vss,
1233
    vdd => vdd,
1234
    nq => c(115),
1235
    i2 => auxsc580,
1236
    i1 => auxsc579,
1237
    i0 => sel);
1238
  c_116 : nao22_x1
1239
    PORT MAP (
1240
    vss => vss,
1241
    vdd => vdd,
1242
    nq => c(116),
1243
    i2 => auxsc585,
1244
    i1 => auxsc584,
1245
    i0 => sel);
1246
  c_117 : nao22_x1
1247
    PORT MAP (
1248
    vss => vss,
1249
    vdd => vdd,
1250
    nq => c(117),
1251
    i2 => auxsc590,
1252
    i1 => auxsc589,
1253
    i0 => sel);
1254
  c_118 : nao22_x1
1255
    PORT MAP (
1256
    vss => vss,
1257
    vdd => vdd,
1258
    nq => c(118),
1259
    i2 => auxsc595,
1260
    i1 => auxsc594,
1261
    i0 => sel);
1262
  c_119 : nao22_x1
1263
    PORT MAP (
1264
    vss => vss,
1265
    vdd => vdd,
1266
    nq => c(119),
1267
    i2 => auxsc600,
1268
    i1 => auxsc599,
1269
    i0 => sel);
1270
  c_120 : nao22_x1
1271
    PORT MAP (
1272
    vss => vss,
1273
    vdd => vdd,
1274
    nq => c(120),
1275
    i2 => auxsc605,
1276
    i1 => auxsc604,
1277
    i0 => sel);
1278
  c_121 : nao22_x1
1279
    PORT MAP (
1280
    vss => vss,
1281
    vdd => vdd,
1282
    nq => c(121),
1283
    i2 => auxsc610,
1284
    i1 => auxsc609,
1285
    i0 => sel);
1286
  c_122 : nao22_x1
1287
    PORT MAP (
1288
    vss => vss,
1289
    vdd => vdd,
1290
    nq => c(122),
1291
    i2 => auxsc615,
1292
    i1 => auxsc614,
1293
    i0 => sel);
1294
  c_123 : nao22_x1
1295
    PORT MAP (
1296
    vss => vss,
1297
    vdd => vdd,
1298
    nq => c(123),
1299
    i2 => auxsc620,
1300
    i1 => auxsc619,
1301
    i0 => sel);
1302
  c_124 : nao22_x1
1303
    PORT MAP (
1304
    vss => vss,
1305
    vdd => vdd,
1306
    nq => c(124),
1307
    i2 => auxsc625,
1308
    i1 => auxsc624,
1309
    i0 => sel);
1310
  c_125 : nao22_x1
1311
    PORT MAP (
1312
    vss => vss,
1313
    vdd => vdd,
1314
    nq => c(125),
1315
    i2 => auxsc630,
1316
    i1 => auxsc629,
1317
    i0 => sel);
1318
  c_126 : nao22_x1
1319
    PORT MAP (
1320
    vss => vss,
1321
    vdd => vdd,
1322
    nq => c(126),
1323
    i2 => auxsc635,
1324
    i1 => auxsc634,
1325
    i0 => sel);
1326
  c_127 : nao22_x1
1327
    PORT MAP (
1328
    vss => vss,
1329
    vdd => vdd,
1330
    nq => c(127),
1331
    i2 => auxsc640,
1332
    i1 => auxsc639,
1333
    i0 => sel);
1334
  auxsc640 : na2_x1
1335
    PORT MAP (
1336
    vss => vss,
1337
    vdd => vdd,
1338
    nq => auxsc640,
1339
    i1 => b(127),
1340
    i0 => sel);
1341
  auxsc639 : inv_x1
1342
    PORT MAP (
1343
    vss => vss,
1344
    vdd => vdd,
1345
    nq => auxsc639,
1346
    i => a(127));
1347
  auxsc635 : na2_x1
1348
    PORT MAP (
1349
    vss => vss,
1350
    vdd => vdd,
1351
    nq => auxsc635,
1352
    i1 => b(126),
1353
    i0 => sel);
1354
  auxsc634 : inv_x1
1355
    PORT MAP (
1356
    vss => vss,
1357
    vdd => vdd,
1358
    nq => auxsc634,
1359
    i => a(126));
1360
  auxsc630 : na2_x1
1361
    PORT MAP (
1362
    vss => vss,
1363
    vdd => vdd,
1364
    nq => auxsc630,
1365
    i1 => b(125),
1366
    i0 => sel);
1367
  auxsc629 : inv_x1
1368
    PORT MAP (
1369
    vss => vss,
1370
    vdd => vdd,
1371
    nq => auxsc629,
1372
    i => a(125));
1373
  auxsc625 : na2_x1
1374
    PORT MAP (
1375
    vss => vss,
1376
    vdd => vdd,
1377
    nq => auxsc625,
1378
    i1 => b(124),
1379
    i0 => sel);
1380
  auxsc624 : inv_x1
1381
    PORT MAP (
1382
    vss => vss,
1383
    vdd => vdd,
1384
    nq => auxsc624,
1385
    i => a(124));
1386
  auxsc620 : na2_x1
1387
    PORT MAP (
1388
    vss => vss,
1389
    vdd => vdd,
1390
    nq => auxsc620,
1391
    i1 => b(123),
1392
    i0 => sel);
1393
  auxsc619 : inv_x1
1394
    PORT MAP (
1395
    vss => vss,
1396
    vdd => vdd,
1397
    nq => auxsc619,
1398
    i => a(123));
1399
  auxsc615 : na2_x1
1400
    PORT MAP (
1401
    vss => vss,
1402
    vdd => vdd,
1403
    nq => auxsc615,
1404
    i1 => b(122),
1405
    i0 => sel);
1406
  auxsc614 : inv_x1
1407
    PORT MAP (
1408
    vss => vss,
1409
    vdd => vdd,
1410
    nq => auxsc614,
1411
    i => a(122));
1412
  auxsc610 : na2_x1
1413
    PORT MAP (
1414
    vss => vss,
1415
    vdd => vdd,
1416
    nq => auxsc610,
1417
    i1 => b(121),
1418
    i0 => sel);
1419
  auxsc609 : inv_x1
1420
    PORT MAP (
1421
    vss => vss,
1422
    vdd => vdd,
1423
    nq => auxsc609,
1424
    i => a(121));
1425
  auxsc605 : na2_x1
1426
    PORT MAP (
1427
    vss => vss,
1428
    vdd => vdd,
1429
    nq => auxsc605,
1430
    i1 => b(120),
1431
    i0 => sel);
1432
  auxsc604 : inv_x1
1433
    PORT MAP (
1434
    vss => vss,
1435
    vdd => vdd,
1436
    nq => auxsc604,
1437
    i => a(120));
1438
  auxsc600 : na2_x1
1439
    PORT MAP (
1440
    vss => vss,
1441
    vdd => vdd,
1442
    nq => auxsc600,
1443
    i1 => b(119),
1444
    i0 => sel);
1445
  auxsc599 : inv_x1
1446
    PORT MAP (
1447
    vss => vss,
1448
    vdd => vdd,
1449
    nq => auxsc599,
1450
    i => a(119));
1451
  auxsc595 : na2_x1
1452
    PORT MAP (
1453
    vss => vss,
1454
    vdd => vdd,
1455
    nq => auxsc595,
1456
    i1 => b(118),
1457
    i0 => sel);
1458
  auxsc594 : inv_x1
1459
    PORT MAP (
1460
    vss => vss,
1461
    vdd => vdd,
1462
    nq => auxsc594,
1463
    i => a(118));
1464
  auxsc590 : na2_x1
1465
    PORT MAP (
1466
    vss => vss,
1467
    vdd => vdd,
1468
    nq => auxsc590,
1469
    i1 => b(117),
1470
    i0 => sel);
1471
  auxsc589 : inv_x1
1472
    PORT MAP (
1473
    vss => vss,
1474
    vdd => vdd,
1475
    nq => auxsc589,
1476
    i => a(117));
1477
  auxsc585 : na2_x1
1478
    PORT MAP (
1479
    vss => vss,
1480
    vdd => vdd,
1481
    nq => auxsc585,
1482
    i1 => b(116),
1483
    i0 => sel);
1484
  auxsc584 : inv_x1
1485
    PORT MAP (
1486
    vss => vss,
1487
    vdd => vdd,
1488
    nq => auxsc584,
1489
    i => a(116));
1490
  auxsc580 : na2_x1
1491
    PORT MAP (
1492
    vss => vss,
1493
    vdd => vdd,
1494
    nq => auxsc580,
1495
    i1 => b(115),
1496
    i0 => sel);
1497
  auxsc579 : inv_x1
1498
    PORT MAP (
1499
    vss => vss,
1500
    vdd => vdd,
1501
    nq => auxsc579,
1502
    i => a(115));
1503
  auxsc575 : na2_x1
1504
    PORT MAP (
1505
    vss => vss,
1506
    vdd => vdd,
1507
    nq => auxsc575,
1508
    i1 => b(114),
1509
    i0 => sel);
1510
  auxsc574 : inv_x1
1511
    PORT MAP (
1512
    vss => vss,
1513
    vdd => vdd,
1514
    nq => auxsc574,
1515
    i => a(114));
1516
  auxsc570 : na2_x1
1517
    PORT MAP (
1518
    vss => vss,
1519
    vdd => vdd,
1520
    nq => auxsc570,
1521
    i1 => b(113),
1522
    i0 => sel);
1523
  auxsc569 : inv_x1
1524
    PORT MAP (
1525
    vss => vss,
1526
    vdd => vdd,
1527
    nq => auxsc569,
1528
    i => a(113));
1529
  auxsc565 : na2_x1
1530
    PORT MAP (
1531
    vss => vss,
1532
    vdd => vdd,
1533
    nq => auxsc565,
1534
    i1 => b(112),
1535
    i0 => sel);
1536
  auxsc564 : inv_x1
1537
    PORT MAP (
1538
    vss => vss,
1539
    vdd => vdd,
1540
    nq => auxsc564,
1541
    i => a(112));
1542
  auxsc560 : na2_x1
1543
    PORT MAP (
1544
    vss => vss,
1545
    vdd => vdd,
1546
    nq => auxsc560,
1547
    i1 => b(111),
1548
    i0 => sel);
1549
  auxsc559 : inv_x1
1550
    PORT MAP (
1551
    vss => vss,
1552
    vdd => vdd,
1553
    nq => auxsc559,
1554
    i => a(111));
1555
  auxsc555 : na2_x1
1556
    PORT MAP (
1557
    vss => vss,
1558
    vdd => vdd,
1559
    nq => auxsc555,
1560
    i1 => b(110),
1561
    i0 => sel);
1562
  auxsc554 : inv_x1
1563
    PORT MAP (
1564
    vss => vss,
1565
    vdd => vdd,
1566
    nq => auxsc554,
1567
    i => a(110));
1568
  auxsc550 : na2_x1
1569
    PORT MAP (
1570
    vss => vss,
1571
    vdd => vdd,
1572
    nq => auxsc550,
1573
    i1 => b(109),
1574
    i0 => sel);
1575
  auxsc549 : inv_x1
1576
    PORT MAP (
1577
    vss => vss,
1578
    vdd => vdd,
1579
    nq => auxsc549,
1580
    i => a(109));
1581
  auxsc545 : na2_x1
1582
    PORT MAP (
1583
    vss => vss,
1584
    vdd => vdd,
1585
    nq => auxsc545,
1586
    i1 => b(108),
1587
    i0 => sel);
1588
  auxsc544 : inv_x1
1589
    PORT MAP (
1590
    vss => vss,
1591
    vdd => vdd,
1592
    nq => auxsc544,
1593
    i => a(108));
1594
  auxsc540 : na2_x1
1595
    PORT MAP (
1596
    vss => vss,
1597
    vdd => vdd,
1598
    nq => auxsc540,
1599
    i1 => b(107),
1600
    i0 => sel);
1601
  auxsc539 : inv_x1
1602
    PORT MAP (
1603
    vss => vss,
1604
    vdd => vdd,
1605
    nq => auxsc539,
1606
    i => a(107));
1607
  auxsc535 : na2_x1
1608
    PORT MAP (
1609
    vss => vss,
1610
    vdd => vdd,
1611
    nq => auxsc535,
1612
    i1 => b(106),
1613
    i0 => sel);
1614
  auxsc534 : inv_x1
1615
    PORT MAP (
1616
    vss => vss,
1617
    vdd => vdd,
1618
    nq => auxsc534,
1619
    i => a(106));
1620
  auxsc530 : na2_x1
1621
    PORT MAP (
1622
    vss => vss,
1623
    vdd => vdd,
1624
    nq => auxsc530,
1625
    i1 => b(105),
1626
    i0 => sel);
1627
  auxsc529 : inv_x1
1628
    PORT MAP (
1629
    vss => vss,
1630
    vdd => vdd,
1631
    nq => auxsc529,
1632
    i => a(105));
1633
  auxsc525 : na2_x1
1634
    PORT MAP (
1635
    vss => vss,
1636
    vdd => vdd,
1637
    nq => auxsc525,
1638
    i1 => b(104),
1639
    i0 => sel);
1640
  auxsc524 : inv_x1
1641
    PORT MAP (
1642
    vss => vss,
1643
    vdd => vdd,
1644
    nq => auxsc524,
1645
    i => a(104));
1646
  auxsc520 : na2_x1
1647
    PORT MAP (
1648
    vss => vss,
1649
    vdd => vdd,
1650
    nq => auxsc520,
1651
    i1 => b(103),
1652
    i0 => sel);
1653
  auxsc519 : inv_x1
1654
    PORT MAP (
1655
    vss => vss,
1656
    vdd => vdd,
1657
    nq => auxsc519,
1658
    i => a(103));
1659
  auxsc515 : na2_x1
1660
    PORT MAP (
1661
    vss => vss,
1662
    vdd => vdd,
1663
    nq => auxsc515,
1664
    i1 => b(102),
1665
    i0 => sel);
1666
  auxsc514 : inv_x1
1667
    PORT MAP (
1668
    vss => vss,
1669
    vdd => vdd,
1670
    nq => auxsc514,
1671
    i => a(102));
1672
  auxsc510 : na2_x1
1673
    PORT MAP (
1674
    vss => vss,
1675
    vdd => vdd,
1676
    nq => auxsc510,
1677
    i1 => b(101),
1678
    i0 => sel);
1679
  auxsc509 : inv_x1
1680
    PORT MAP (
1681
    vss => vss,
1682
    vdd => vdd,
1683
    nq => auxsc509,
1684
    i => a(101));
1685
  auxsc505 : na2_x1
1686
    PORT MAP (
1687
    vss => vss,
1688
    vdd => vdd,
1689
    nq => auxsc505,
1690
    i1 => b(100),
1691
    i0 => sel);
1692
  auxsc504 : inv_x1
1693
    PORT MAP (
1694
    vss => vss,
1695
    vdd => vdd,
1696
    nq => auxsc504,
1697
    i => a(100));
1698
  auxsc500 : na2_x1
1699
    PORT MAP (
1700
    vss => vss,
1701
    vdd => vdd,
1702
    nq => auxsc500,
1703
    i1 => b(99),
1704
    i0 => sel);
1705
  auxsc499 : inv_x1
1706
    PORT MAP (
1707
    vss => vss,
1708
    vdd => vdd,
1709
    nq => auxsc499,
1710
    i => a(99));
1711
  auxsc495 : na2_x1
1712
    PORT MAP (
1713
    vss => vss,
1714
    vdd => vdd,
1715
    nq => auxsc495,
1716
    i1 => b(98),
1717
    i0 => sel);
1718
  auxsc494 : inv_x1
1719
    PORT MAP (
1720
    vss => vss,
1721
    vdd => vdd,
1722
    nq => auxsc494,
1723
    i => a(98));
1724
  auxsc490 : na2_x1
1725
    PORT MAP (
1726
    vss => vss,
1727
    vdd => vdd,
1728
    nq => auxsc490,
1729
    i1 => b(97),
1730
    i0 => sel);
1731
  auxsc489 : inv_x1
1732
    PORT MAP (
1733
    vss => vss,
1734
    vdd => vdd,
1735
    nq => auxsc489,
1736
    i => a(97));
1737
  auxsc485 : na2_x1
1738
    PORT MAP (
1739
    vss => vss,
1740
    vdd => vdd,
1741
    nq => auxsc485,
1742
    i1 => b(96),
1743
    i0 => sel);
1744
  auxsc484 : inv_x1
1745
    PORT MAP (
1746
    vss => vss,
1747
    vdd => vdd,
1748
    nq => auxsc484,
1749
    i => a(96));
1750
  auxsc480 : na2_x1
1751
    PORT MAP (
1752
    vss => vss,
1753
    vdd => vdd,
1754
    nq => auxsc480,
1755
    i1 => b(95),
1756
    i0 => sel);
1757
  auxsc479 : inv_x1
1758
    PORT MAP (
1759
    vss => vss,
1760
    vdd => vdd,
1761
    nq => auxsc479,
1762
    i => a(95));
1763
  auxsc475 : na2_x1
1764
    PORT MAP (
1765
    vss => vss,
1766
    vdd => vdd,
1767
    nq => auxsc475,
1768
    i1 => b(94),
1769
    i0 => sel);
1770
  auxsc474 : inv_x1
1771
    PORT MAP (
1772
    vss => vss,
1773
    vdd => vdd,
1774
    nq => auxsc474,
1775
    i => a(94));
1776
  auxsc470 : na2_x1
1777
    PORT MAP (
1778
    vss => vss,
1779
    vdd => vdd,
1780
    nq => auxsc470,
1781
    i1 => b(93),
1782
    i0 => sel);
1783
  auxsc469 : inv_x1
1784
    PORT MAP (
1785
    vss => vss,
1786
    vdd => vdd,
1787
    nq => auxsc469,
1788
    i => a(93));
1789
  auxsc465 : na2_x1
1790
    PORT MAP (
1791
    vss => vss,
1792
    vdd => vdd,
1793
    nq => auxsc465,
1794
    i1 => b(92),
1795
    i0 => sel);
1796
  auxsc464 : inv_x1
1797
    PORT MAP (
1798
    vss => vss,
1799
    vdd => vdd,
1800
    nq => auxsc464,
1801
    i => a(92));
1802
  auxsc460 : na2_x1
1803
    PORT MAP (
1804
    vss => vss,
1805
    vdd => vdd,
1806
    nq => auxsc460,
1807
    i1 => b(91),
1808
    i0 => sel);
1809
  auxsc459 : inv_x1
1810
    PORT MAP (
1811
    vss => vss,
1812
    vdd => vdd,
1813
    nq => auxsc459,
1814
    i => a(91));
1815
  auxsc455 : na2_x1
1816
    PORT MAP (
1817
    vss => vss,
1818
    vdd => vdd,
1819
    nq => auxsc455,
1820
    i1 => b(90),
1821
    i0 => sel);
1822
  auxsc454 : inv_x1
1823
    PORT MAP (
1824
    vss => vss,
1825
    vdd => vdd,
1826
    nq => auxsc454,
1827
    i => a(90));
1828
  auxsc450 : na2_x1
1829
    PORT MAP (
1830
    vss => vss,
1831
    vdd => vdd,
1832
    nq => auxsc450,
1833
    i1 => b(89),
1834
    i0 => sel);
1835
  auxsc449 : inv_x1
1836
    PORT MAP (
1837
    vss => vss,
1838
    vdd => vdd,
1839
    nq => auxsc449,
1840
    i => a(89));
1841
  auxsc445 : na2_x1
1842
    PORT MAP (
1843
    vss => vss,
1844
    vdd => vdd,
1845
    nq => auxsc445,
1846
    i1 => b(88),
1847
    i0 => sel);
1848
  auxsc444 : inv_x1
1849
    PORT MAP (
1850
    vss => vss,
1851
    vdd => vdd,
1852
    nq => auxsc444,
1853
    i => a(88));
1854
  auxsc440 : na2_x1
1855
    PORT MAP (
1856
    vss => vss,
1857
    vdd => vdd,
1858
    nq => auxsc440,
1859
    i1 => b(87),
1860
    i0 => sel);
1861
  auxsc439 : inv_x1
1862
    PORT MAP (
1863
    vss => vss,
1864
    vdd => vdd,
1865
    nq => auxsc439,
1866
    i => a(87));
1867
  auxsc435 : na2_x1
1868
    PORT MAP (
1869
    vss => vss,
1870
    vdd => vdd,
1871
    nq => auxsc435,
1872
    i1 => b(86),
1873
    i0 => sel);
1874
  auxsc434 : inv_x1
1875
    PORT MAP (
1876
    vss => vss,
1877
    vdd => vdd,
1878
    nq => auxsc434,
1879
    i => a(86));
1880
  auxsc430 : na2_x1
1881
    PORT MAP (
1882
    vss => vss,
1883
    vdd => vdd,
1884
    nq => auxsc430,
1885
    i1 => b(85),
1886
    i0 => sel);
1887
  auxsc429 : inv_x1
1888
    PORT MAP (
1889
    vss => vss,
1890
    vdd => vdd,
1891
    nq => auxsc429,
1892
    i => a(85));
1893
  auxsc425 : na2_x1
1894
    PORT MAP (
1895
    vss => vss,
1896
    vdd => vdd,
1897
    nq => auxsc425,
1898
    i1 => b(84),
1899
    i0 => sel);
1900
  auxsc424 : inv_x1
1901
    PORT MAP (
1902
    vss => vss,
1903
    vdd => vdd,
1904
    nq => auxsc424,
1905
    i => a(84));
1906
  auxsc420 : na2_x1
1907
    PORT MAP (
1908
    vss => vss,
1909
    vdd => vdd,
1910
    nq => auxsc420,
1911
    i1 => b(83),
1912
    i0 => sel);
1913
  auxsc419 : inv_x1
1914
    PORT MAP (
1915
    vss => vss,
1916
    vdd => vdd,
1917
    nq => auxsc419,
1918
    i => a(83));
1919
  auxsc415 : na2_x1
1920
    PORT MAP (
1921
    vss => vss,
1922
    vdd => vdd,
1923
    nq => auxsc415,
1924
    i1 => b(82),
1925
    i0 => sel);
1926
  auxsc414 : inv_x1
1927
    PORT MAP (
1928
    vss => vss,
1929
    vdd => vdd,
1930
    nq => auxsc414,
1931
    i => a(82));
1932
  auxsc410 : na2_x1
1933
    PORT MAP (
1934
    vss => vss,
1935
    vdd => vdd,
1936
    nq => auxsc410,
1937
    i1 => b(81),
1938
    i0 => sel);
1939
  auxsc409 : inv_x1
1940
    PORT MAP (
1941
    vss => vss,
1942
    vdd => vdd,
1943
    nq => auxsc409,
1944
    i => a(81));
1945
  auxsc405 : na2_x1
1946
    PORT MAP (
1947
    vss => vss,
1948
    vdd => vdd,
1949
    nq => auxsc405,
1950
    i1 => b(80),
1951
    i0 => sel);
1952
  auxsc404 : inv_x1
1953
    PORT MAP (
1954
    vss => vss,
1955
    vdd => vdd,
1956
    nq => auxsc404,
1957
    i => a(80));
1958
  auxsc400 : na2_x1
1959
    PORT MAP (
1960
    vss => vss,
1961
    vdd => vdd,
1962
    nq => auxsc400,
1963
    i1 => b(79),
1964
    i0 => sel);
1965
  auxsc399 : inv_x1
1966
    PORT MAP (
1967
    vss => vss,
1968
    vdd => vdd,
1969
    nq => auxsc399,
1970
    i => a(79));
1971
  auxsc395 : na2_x1
1972
    PORT MAP (
1973
    vss => vss,
1974
    vdd => vdd,
1975
    nq => auxsc395,
1976
    i1 => b(78),
1977
    i0 => sel);
1978
  auxsc394 : inv_x1
1979
    PORT MAP (
1980
    vss => vss,
1981
    vdd => vdd,
1982
    nq => auxsc394,
1983
    i => a(78));
1984
  auxsc390 : na2_x1
1985
    PORT MAP (
1986
    vss => vss,
1987
    vdd => vdd,
1988
    nq => auxsc390,
1989
    i1 => b(77),
1990
    i0 => sel);
1991
  auxsc389 : inv_x1
1992
    PORT MAP (
1993
    vss => vss,
1994
    vdd => vdd,
1995
    nq => auxsc389,
1996
    i => a(77));
1997
  auxsc385 : na2_x1
1998
    PORT MAP (
1999
    vss => vss,
2000
    vdd => vdd,
2001
    nq => auxsc385,
2002
    i1 => b(76),
2003
    i0 => sel);
2004
  auxsc384 : inv_x1
2005
    PORT MAP (
2006
    vss => vss,
2007
    vdd => vdd,
2008
    nq => auxsc384,
2009
    i => a(76));
2010
  auxsc380 : na2_x1
2011
    PORT MAP (
2012
    vss => vss,
2013
    vdd => vdd,
2014
    nq => auxsc380,
2015
    i1 => b(75),
2016
    i0 => sel);
2017
  auxsc379 : inv_x1
2018
    PORT MAP (
2019
    vss => vss,
2020
    vdd => vdd,
2021
    nq => auxsc379,
2022
    i => a(75));
2023
  auxsc375 : na2_x1
2024
    PORT MAP (
2025
    vss => vss,
2026
    vdd => vdd,
2027
    nq => auxsc375,
2028
    i1 => b(74),
2029
    i0 => sel);
2030
  auxsc374 : inv_x1
2031
    PORT MAP (
2032
    vss => vss,
2033
    vdd => vdd,
2034
    nq => auxsc374,
2035
    i => a(74));
2036
  auxsc370 : na2_x1
2037
    PORT MAP (
2038
    vss => vss,
2039
    vdd => vdd,
2040
    nq => auxsc370,
2041
    i1 => b(73),
2042
    i0 => sel);
2043
  auxsc369 : inv_x1
2044
    PORT MAP (
2045
    vss => vss,
2046
    vdd => vdd,
2047
    nq => auxsc369,
2048
    i => a(73));
2049
  auxsc365 : na2_x1
2050
    PORT MAP (
2051
    vss => vss,
2052
    vdd => vdd,
2053
    nq => auxsc365,
2054
    i1 => b(72),
2055
    i0 => sel);
2056
  auxsc364 : inv_x1
2057
    PORT MAP (
2058
    vss => vss,
2059
    vdd => vdd,
2060
    nq => auxsc364,
2061
    i => a(72));
2062
  auxsc360 : na2_x1
2063
    PORT MAP (
2064
    vss => vss,
2065
    vdd => vdd,
2066
    nq => auxsc360,
2067
    i1 => b(71),
2068
    i0 => sel);
2069
  auxsc359 : inv_x1
2070
    PORT MAP (
2071
    vss => vss,
2072
    vdd => vdd,
2073
    nq => auxsc359,
2074
    i => a(71));
2075
  auxsc355 : na2_x1
2076
    PORT MAP (
2077
    vss => vss,
2078
    vdd => vdd,
2079
    nq => auxsc355,
2080
    i1 => b(70),
2081
    i0 => sel);
2082
  auxsc354 : inv_x1
2083
    PORT MAP (
2084
    vss => vss,
2085
    vdd => vdd,
2086
    nq => auxsc354,
2087
    i => a(70));
2088
  auxsc350 : na2_x1
2089
    PORT MAP (
2090
    vss => vss,
2091
    vdd => vdd,
2092
    nq => auxsc350,
2093
    i1 => b(69),
2094
    i0 => sel);
2095
  auxsc349 : inv_x1
2096
    PORT MAP (
2097
    vss => vss,
2098
    vdd => vdd,
2099
    nq => auxsc349,
2100
    i => a(69));
2101
  auxsc345 : na2_x1
2102
    PORT MAP (
2103
    vss => vss,
2104
    vdd => vdd,
2105
    nq => auxsc345,
2106
    i1 => b(68),
2107
    i0 => sel);
2108
  auxsc344 : inv_x1
2109
    PORT MAP (
2110
    vss => vss,
2111
    vdd => vdd,
2112
    nq => auxsc344,
2113
    i => a(68));
2114
  auxsc340 : na2_x1
2115
    PORT MAP (
2116
    vss => vss,
2117
    vdd => vdd,
2118
    nq => auxsc340,
2119
    i1 => b(67),
2120
    i0 => sel);
2121
  auxsc339 : inv_x1
2122
    PORT MAP (
2123
    vss => vss,
2124
    vdd => vdd,
2125
    nq => auxsc339,
2126
    i => a(67));
2127
  auxsc335 : na2_x1
2128
    PORT MAP (
2129
    vss => vss,
2130
    vdd => vdd,
2131
    nq => auxsc335,
2132
    i1 => b(66),
2133
    i0 => sel);
2134
  auxsc334 : inv_x1
2135
    PORT MAP (
2136
    vss => vss,
2137
    vdd => vdd,
2138
    nq => auxsc334,
2139
    i => a(66));
2140
  auxsc330 : na2_x1
2141
    PORT MAP (
2142
    vss => vss,
2143
    vdd => vdd,
2144
    nq => auxsc330,
2145
    i1 => b(65),
2146
    i0 => sel);
2147
  auxsc329 : inv_x1
2148
    PORT MAP (
2149
    vss => vss,
2150
    vdd => vdd,
2151
    nq => auxsc329,
2152
    i => a(65));
2153
  auxsc325 : na2_x1
2154
    PORT MAP (
2155
    vss => vss,
2156
    vdd => vdd,
2157
    nq => auxsc325,
2158
    i1 => b(64),
2159
    i0 => sel);
2160
  auxsc324 : inv_x1
2161
    PORT MAP (
2162
    vss => vss,
2163
    vdd => vdd,
2164
    nq => auxsc324,
2165
    i => a(64));
2166
  auxsc320 : na2_x1
2167
    PORT MAP (
2168
    vss => vss,
2169
    vdd => vdd,
2170
    nq => auxsc320,
2171
    i1 => b(63),
2172
    i0 => sel);
2173
  auxsc319 : inv_x1
2174
    PORT MAP (
2175
    vss => vss,
2176
    vdd => vdd,
2177
    nq => auxsc319,
2178
    i => a(63));
2179
  auxsc315 : na2_x1
2180
    PORT MAP (
2181
    vss => vss,
2182
    vdd => vdd,
2183
    nq => auxsc315,
2184
    i1 => b(62),
2185
    i0 => sel);
2186
  auxsc314 : inv_x1
2187
    PORT MAP (
2188
    vss => vss,
2189
    vdd => vdd,
2190
    nq => auxsc314,
2191
    i => a(62));
2192
  auxsc310 : na2_x1
2193
    PORT MAP (
2194
    vss => vss,
2195
    vdd => vdd,
2196
    nq => auxsc310,
2197
    i1 => b(61),
2198
    i0 => sel);
2199
  auxsc309 : inv_x1
2200
    PORT MAP (
2201
    vss => vss,
2202
    vdd => vdd,
2203
    nq => auxsc309,
2204
    i => a(61));
2205
  auxsc305 : na2_x1
2206
    PORT MAP (
2207
    vss => vss,
2208
    vdd => vdd,
2209
    nq => auxsc305,
2210
    i1 => b(60),
2211
    i0 => sel);
2212
  auxsc304 : inv_x1
2213
    PORT MAP (
2214
    vss => vss,
2215
    vdd => vdd,
2216
    nq => auxsc304,
2217
    i => a(60));
2218
  auxsc300 : na2_x1
2219
    PORT MAP (
2220
    vss => vss,
2221
    vdd => vdd,
2222
    nq => auxsc300,
2223
    i1 => b(59),
2224
    i0 => sel);
2225
  auxsc299 : inv_x1
2226
    PORT MAP (
2227
    vss => vss,
2228
    vdd => vdd,
2229
    nq => auxsc299,
2230
    i => a(59));
2231
  auxsc295 : na2_x1
2232
    PORT MAP (
2233
    vss => vss,
2234
    vdd => vdd,
2235
    nq => auxsc295,
2236
    i1 => b(58),
2237
    i0 => sel);
2238
  auxsc294 : inv_x1
2239
    PORT MAP (
2240
    vss => vss,
2241
    vdd => vdd,
2242
    nq => auxsc294,
2243
    i => a(58));
2244
  auxsc290 : na2_x1
2245
    PORT MAP (
2246
    vss => vss,
2247
    vdd => vdd,
2248
    nq => auxsc290,
2249
    i1 => b(57),
2250
    i0 => sel);
2251
  auxsc289 : inv_x1
2252
    PORT MAP (
2253
    vss => vss,
2254
    vdd => vdd,
2255
    nq => auxsc289,
2256
    i => a(57));
2257
  auxsc285 : na2_x1
2258
    PORT MAP (
2259
    vss => vss,
2260
    vdd => vdd,
2261
    nq => auxsc285,
2262
    i1 => b(56),
2263
    i0 => sel);
2264
  auxsc284 : inv_x1
2265
    PORT MAP (
2266
    vss => vss,
2267
    vdd => vdd,
2268
    nq => auxsc284,
2269
    i => a(56));
2270
  auxsc280 : na2_x1
2271
    PORT MAP (
2272
    vss => vss,
2273
    vdd => vdd,
2274
    nq => auxsc280,
2275
    i1 => b(55),
2276
    i0 => sel);
2277
  auxsc279 : inv_x1
2278
    PORT MAP (
2279
    vss => vss,
2280
    vdd => vdd,
2281
    nq => auxsc279,
2282
    i => a(55));
2283
  auxsc275 : na2_x1
2284
    PORT MAP (
2285
    vss => vss,
2286
    vdd => vdd,
2287
    nq => auxsc275,
2288
    i1 => b(54),
2289
    i0 => sel);
2290
  auxsc274 : inv_x1
2291
    PORT MAP (
2292
    vss => vss,
2293
    vdd => vdd,
2294
    nq => auxsc274,
2295
    i => a(54));
2296
  auxsc270 : na2_x1
2297
    PORT MAP (
2298
    vss => vss,
2299
    vdd => vdd,
2300
    nq => auxsc270,
2301
    i1 => b(53),
2302
    i0 => sel);
2303
  auxsc269 : inv_x1
2304
    PORT MAP (
2305
    vss => vss,
2306
    vdd => vdd,
2307
    nq => auxsc269,
2308
    i => a(53));
2309
  auxsc265 : na2_x1
2310
    PORT MAP (
2311
    vss => vss,
2312
    vdd => vdd,
2313
    nq => auxsc265,
2314
    i1 => b(52),
2315
    i0 => sel);
2316
  auxsc264 : inv_x1
2317
    PORT MAP (
2318
    vss => vss,
2319
    vdd => vdd,
2320
    nq => auxsc264,
2321
    i => a(52));
2322
  auxsc260 : na2_x1
2323
    PORT MAP (
2324
    vss => vss,
2325
    vdd => vdd,
2326
    nq => auxsc260,
2327
    i1 => b(51),
2328
    i0 => sel);
2329
  auxsc259 : inv_x1
2330
    PORT MAP (
2331
    vss => vss,
2332
    vdd => vdd,
2333
    nq => auxsc259,
2334
    i => a(51));
2335
  auxsc255 : na2_x1
2336
    PORT MAP (
2337
    vss => vss,
2338
    vdd => vdd,
2339
    nq => auxsc255,
2340
    i1 => b(50),
2341
    i0 => sel);
2342
  auxsc254 : inv_x1
2343
    PORT MAP (
2344
    vss => vss,
2345
    vdd => vdd,
2346
    nq => auxsc254,
2347
    i => a(50));
2348
  auxsc250 : na2_x1
2349
    PORT MAP (
2350
    vss => vss,
2351
    vdd => vdd,
2352
    nq => auxsc250,
2353
    i1 => b(49),
2354
    i0 => sel);
2355
  auxsc249 : inv_x1
2356
    PORT MAP (
2357
    vss => vss,
2358
    vdd => vdd,
2359
    nq => auxsc249,
2360
    i => a(49));
2361
  auxsc245 : na2_x1
2362
    PORT MAP (
2363
    vss => vss,
2364
    vdd => vdd,
2365
    nq => auxsc245,
2366
    i1 => b(48),
2367
    i0 => sel);
2368
  auxsc244 : inv_x1
2369
    PORT MAP (
2370
    vss => vss,
2371
    vdd => vdd,
2372
    nq => auxsc244,
2373
    i => a(48));
2374
  auxsc240 : na2_x1
2375
    PORT MAP (
2376
    vss => vss,
2377
    vdd => vdd,
2378
    nq => auxsc240,
2379
    i1 => b(47),
2380
    i0 => sel);
2381
  auxsc239 : inv_x1
2382
    PORT MAP (
2383
    vss => vss,
2384
    vdd => vdd,
2385
    nq => auxsc239,
2386
    i => a(47));
2387
  auxsc235 : na2_x1
2388
    PORT MAP (
2389
    vss => vss,
2390
    vdd => vdd,
2391
    nq => auxsc235,
2392
    i1 => b(46),
2393
    i0 => sel);
2394
  auxsc234 : inv_x1
2395
    PORT MAP (
2396
    vss => vss,
2397
    vdd => vdd,
2398
    nq => auxsc234,
2399
    i => a(46));
2400
  auxsc230 : na2_x1
2401
    PORT MAP (
2402
    vss => vss,
2403
    vdd => vdd,
2404
    nq => auxsc230,
2405
    i1 => b(45),
2406
    i0 => sel);
2407
  auxsc229 : inv_x1
2408
    PORT MAP (
2409
    vss => vss,
2410
    vdd => vdd,
2411
    nq => auxsc229,
2412
    i => a(45));
2413
  auxsc225 : na2_x1
2414
    PORT MAP (
2415
    vss => vss,
2416
    vdd => vdd,
2417
    nq => auxsc225,
2418
    i1 => b(44),
2419
    i0 => sel);
2420
  auxsc224 : inv_x1
2421
    PORT MAP (
2422
    vss => vss,
2423
    vdd => vdd,
2424
    nq => auxsc224,
2425
    i => a(44));
2426
  auxsc220 : na2_x1
2427
    PORT MAP (
2428
    vss => vss,
2429
    vdd => vdd,
2430
    nq => auxsc220,
2431
    i1 => b(43),
2432
    i0 => sel);
2433
  auxsc219 : inv_x1
2434
    PORT MAP (
2435
    vss => vss,
2436
    vdd => vdd,
2437
    nq => auxsc219,
2438
    i => a(43));
2439
  auxsc215 : na2_x1
2440
    PORT MAP (
2441
    vss => vss,
2442
    vdd => vdd,
2443
    nq => auxsc215,
2444
    i1 => b(42),
2445
    i0 => sel);
2446
  auxsc214 : inv_x1
2447
    PORT MAP (
2448
    vss => vss,
2449
    vdd => vdd,
2450
    nq => auxsc214,
2451
    i => a(42));
2452
  auxsc210 : na2_x1
2453
    PORT MAP (
2454
    vss => vss,
2455
    vdd => vdd,
2456
    nq => auxsc210,
2457
    i1 => b(41),
2458
    i0 => sel);
2459
  auxsc209 : inv_x1
2460
    PORT MAP (
2461
    vss => vss,
2462
    vdd => vdd,
2463
    nq => auxsc209,
2464
    i => a(41));
2465
  auxsc205 : na2_x1
2466
    PORT MAP (
2467
    vss => vss,
2468
    vdd => vdd,
2469
    nq => auxsc205,
2470
    i1 => b(40),
2471
    i0 => sel);
2472
  auxsc204 : inv_x1
2473
    PORT MAP (
2474
    vss => vss,
2475
    vdd => vdd,
2476
    nq => auxsc204,
2477
    i => a(40));
2478
  auxsc200 : na2_x1
2479
    PORT MAP (
2480
    vss => vss,
2481
    vdd => vdd,
2482
    nq => auxsc200,
2483
    i1 => b(39),
2484
    i0 => sel);
2485
  auxsc199 : inv_x1
2486
    PORT MAP (
2487
    vss => vss,
2488
    vdd => vdd,
2489
    nq => auxsc199,
2490
    i => a(39));
2491
  auxsc195 : na2_x1
2492
    PORT MAP (
2493
    vss => vss,
2494
    vdd => vdd,
2495
    nq => auxsc195,
2496
    i1 => b(38),
2497
    i0 => sel);
2498
  auxsc194 : inv_x1
2499
    PORT MAP (
2500
    vss => vss,
2501
    vdd => vdd,
2502
    nq => auxsc194,
2503
    i => a(38));
2504
  auxsc190 : na2_x1
2505
    PORT MAP (
2506
    vss => vss,
2507
    vdd => vdd,
2508
    nq => auxsc190,
2509
    i1 => b(37),
2510
    i0 => sel);
2511
  auxsc189 : inv_x1
2512
    PORT MAP (
2513
    vss => vss,
2514
    vdd => vdd,
2515
    nq => auxsc189,
2516
    i => a(37));
2517
  auxsc185 : na2_x1
2518
    PORT MAP (
2519
    vss => vss,
2520
    vdd => vdd,
2521
    nq => auxsc185,
2522
    i1 => b(36),
2523
    i0 => sel);
2524
  auxsc184 : inv_x1
2525
    PORT MAP (
2526
    vss => vss,
2527
    vdd => vdd,
2528
    nq => auxsc184,
2529
    i => a(36));
2530
  auxsc180 : na2_x1
2531
    PORT MAP (
2532
    vss => vss,
2533
    vdd => vdd,
2534
    nq => auxsc180,
2535
    i1 => b(35),
2536
    i0 => sel);
2537
  auxsc179 : inv_x1
2538
    PORT MAP (
2539
    vss => vss,
2540
    vdd => vdd,
2541
    nq => auxsc179,
2542
    i => a(35));
2543
  auxsc175 : na2_x1
2544
    PORT MAP (
2545
    vss => vss,
2546
    vdd => vdd,
2547
    nq => auxsc175,
2548
    i1 => b(34),
2549
    i0 => sel);
2550
  auxsc174 : inv_x1
2551
    PORT MAP (
2552
    vss => vss,
2553
    vdd => vdd,
2554
    nq => auxsc174,
2555
    i => a(34));
2556
  auxsc170 : na2_x1
2557
    PORT MAP (
2558
    vss => vss,
2559
    vdd => vdd,
2560
    nq => auxsc170,
2561
    i1 => b(33),
2562
    i0 => sel);
2563
  auxsc169 : inv_x1
2564
    PORT MAP (
2565
    vss => vss,
2566
    vdd => vdd,
2567
    nq => auxsc169,
2568
    i => a(33));
2569
  auxsc165 : na2_x1
2570
    PORT MAP (
2571
    vss => vss,
2572
    vdd => vdd,
2573
    nq => auxsc165,
2574
    i1 => b(32),
2575
    i0 => sel);
2576
  auxsc164 : inv_x1
2577
    PORT MAP (
2578
    vss => vss,
2579
    vdd => vdd,
2580
    nq => auxsc164,
2581
    i => a(32));
2582
  auxsc160 : na2_x1
2583
    PORT MAP (
2584
    vss => vss,
2585
    vdd => vdd,
2586
    nq => auxsc160,
2587
    i1 => b(31),
2588
    i0 => sel);
2589
  auxsc159 : inv_x1
2590
    PORT MAP (
2591
    vss => vss,
2592
    vdd => vdd,
2593
    nq => auxsc159,
2594
    i => a(31));
2595
  auxsc155 : na2_x1
2596
    PORT MAP (
2597
    vss => vss,
2598
    vdd => vdd,
2599
    nq => auxsc155,
2600
    i1 => b(30),
2601
    i0 => sel);
2602
  auxsc154 : inv_x1
2603
    PORT MAP (
2604
    vss => vss,
2605
    vdd => vdd,
2606
    nq => auxsc154,
2607
    i => a(30));
2608
  auxsc150 : na2_x1
2609
    PORT MAP (
2610
    vss => vss,
2611
    vdd => vdd,
2612
    nq => auxsc150,
2613
    i1 => b(29),
2614
    i0 => sel);
2615
  auxsc149 : inv_x1
2616
    PORT MAP (
2617
    vss => vss,
2618
    vdd => vdd,
2619
    nq => auxsc149,
2620
    i => a(29));
2621
  auxsc145 : na2_x1
2622
    PORT MAP (
2623
    vss => vss,
2624
    vdd => vdd,
2625
    nq => auxsc145,
2626
    i1 => b(28),
2627
    i0 => sel);
2628
  auxsc144 : inv_x1
2629
    PORT MAP (
2630
    vss => vss,
2631
    vdd => vdd,
2632
    nq => auxsc144,
2633
    i => a(28));
2634
  auxsc140 : na2_x1
2635
    PORT MAP (
2636
    vss => vss,
2637
    vdd => vdd,
2638
    nq => auxsc140,
2639
    i1 => b(27),
2640
    i0 => sel);
2641
  auxsc139 : inv_x1
2642
    PORT MAP (
2643
    vss => vss,
2644
    vdd => vdd,
2645
    nq => auxsc139,
2646
    i => a(27));
2647
  auxsc135 : na2_x1
2648
    PORT MAP (
2649
    vss => vss,
2650
    vdd => vdd,
2651
    nq => auxsc135,
2652
    i1 => b(26),
2653
    i0 => sel);
2654
  auxsc134 : inv_x1
2655
    PORT MAP (
2656
    vss => vss,
2657
    vdd => vdd,
2658
    nq => auxsc134,
2659
    i => a(26));
2660
  auxsc130 : na2_x1
2661
    PORT MAP (
2662
    vss => vss,
2663
    vdd => vdd,
2664
    nq => auxsc130,
2665
    i1 => b(25),
2666
    i0 => sel);
2667
  auxsc129 : inv_x1
2668
    PORT MAP (
2669
    vss => vss,
2670
    vdd => vdd,
2671
    nq => auxsc129,
2672
    i => a(25));
2673
  auxsc125 : na2_x1
2674
    PORT MAP (
2675
    vss => vss,
2676
    vdd => vdd,
2677
    nq => auxsc125,
2678
    i1 => b(24),
2679
    i0 => sel);
2680
  auxsc124 : inv_x1
2681
    PORT MAP (
2682
    vss => vss,
2683
    vdd => vdd,
2684
    nq => auxsc124,
2685
    i => a(24));
2686
  auxsc120 : na2_x1
2687
    PORT MAP (
2688
    vss => vss,
2689
    vdd => vdd,
2690
    nq => auxsc120,
2691
    i1 => b(23),
2692
    i0 => sel);
2693
  auxsc119 : inv_x1
2694
    PORT MAP (
2695
    vss => vss,
2696
    vdd => vdd,
2697
    nq => auxsc119,
2698
    i => a(23));
2699
  auxsc115 : na2_x1
2700
    PORT MAP (
2701
    vss => vss,
2702
    vdd => vdd,
2703
    nq => auxsc115,
2704
    i1 => b(22),
2705
    i0 => sel);
2706
  auxsc114 : inv_x1
2707
    PORT MAP (
2708
    vss => vss,
2709
    vdd => vdd,
2710
    nq => auxsc114,
2711
    i => a(22));
2712
  auxsc110 : na2_x1
2713
    PORT MAP (
2714
    vss => vss,
2715
    vdd => vdd,
2716
    nq => auxsc110,
2717
    i1 => b(21),
2718
    i0 => sel);
2719
  auxsc109 : inv_x1
2720
    PORT MAP (
2721
    vss => vss,
2722
    vdd => vdd,
2723
    nq => auxsc109,
2724
    i => a(21));
2725
  auxsc105 : na2_x1
2726
    PORT MAP (
2727
    vss => vss,
2728
    vdd => vdd,
2729
    nq => auxsc105,
2730
    i1 => b(20),
2731
    i0 => sel);
2732
  auxsc104 : inv_x1
2733
    PORT MAP (
2734
    vss => vss,
2735
    vdd => vdd,
2736
    nq => auxsc104,
2737
    i => a(20));
2738
  auxsc100 : na2_x1
2739
    PORT MAP (
2740
    vss => vss,
2741
    vdd => vdd,
2742
    nq => auxsc100,
2743
    i1 => b(19),
2744
    i0 => sel);
2745
  auxsc99 : inv_x1
2746
    PORT MAP (
2747
    vss => vss,
2748
    vdd => vdd,
2749
    nq => auxsc99,
2750
    i => a(19));
2751
  auxsc95 : na2_x1
2752
    PORT MAP (
2753
    vss => vss,
2754
    vdd => vdd,
2755
    nq => auxsc95,
2756
    i1 => b(18),
2757
    i0 => sel);
2758
  auxsc94 : inv_x1
2759
    PORT MAP (
2760
    vss => vss,
2761
    vdd => vdd,
2762
    nq => auxsc94,
2763
    i => a(18));
2764
  auxsc90 : na2_x1
2765
    PORT MAP (
2766
    vss => vss,
2767
    vdd => vdd,
2768
    nq => auxsc90,
2769
    i1 => b(17),
2770
    i0 => sel);
2771
  auxsc89 : inv_x1
2772
    PORT MAP (
2773
    vss => vss,
2774
    vdd => vdd,
2775
    nq => auxsc89,
2776
    i => a(17));
2777
  auxsc85 : na2_x1
2778
    PORT MAP (
2779
    vss => vss,
2780
    vdd => vdd,
2781
    nq => auxsc85,
2782
    i1 => b(16),
2783
    i0 => sel);
2784
  auxsc84 : inv_x1
2785
    PORT MAP (
2786
    vss => vss,
2787
    vdd => vdd,
2788
    nq => auxsc84,
2789
    i => a(16));
2790
  auxsc80 : na2_x1
2791
    PORT MAP (
2792
    vss => vss,
2793
    vdd => vdd,
2794
    nq => auxsc80,
2795
    i1 => b(15),
2796
    i0 => sel);
2797
  auxsc79 : inv_x1
2798
    PORT MAP (
2799
    vss => vss,
2800
    vdd => vdd,
2801
    nq => auxsc79,
2802
    i => a(15));
2803
  auxsc75 : na2_x1
2804
    PORT MAP (
2805
    vss => vss,
2806
    vdd => vdd,
2807
    nq => auxsc75,
2808
    i1 => b(14),
2809
    i0 => sel);
2810
  auxsc74 : inv_x1
2811
    PORT MAP (
2812
    vss => vss,
2813
    vdd => vdd,
2814
    nq => auxsc74,
2815
    i => a(14));
2816
  auxsc70 : na2_x1
2817
    PORT MAP (
2818
    vss => vss,
2819
    vdd => vdd,
2820
    nq => auxsc70,
2821
    i1 => b(13),
2822
    i0 => sel);
2823
  auxsc69 : inv_x1
2824
    PORT MAP (
2825
    vss => vss,
2826
    vdd => vdd,
2827
    nq => auxsc69,
2828
    i => a(13));
2829
  auxsc65 : na2_x1
2830
    PORT MAP (
2831
    vss => vss,
2832
    vdd => vdd,
2833
    nq => auxsc65,
2834
    i1 => b(12),
2835
    i0 => sel);
2836
  auxsc64 : inv_x1
2837
    PORT MAP (
2838
    vss => vss,
2839
    vdd => vdd,
2840
    nq => auxsc64,
2841
    i => a(12));
2842
  auxsc60 : na2_x1
2843
    PORT MAP (
2844
    vss => vss,
2845
    vdd => vdd,
2846
    nq => auxsc60,
2847
    i1 => b(11),
2848
    i0 => sel);
2849
  auxsc59 : inv_x1
2850
    PORT MAP (
2851
    vss => vss,
2852
    vdd => vdd,
2853
    nq => auxsc59,
2854
    i => a(11));
2855
  auxsc55 : na2_x1
2856
    PORT MAP (
2857
    vss => vss,
2858
    vdd => vdd,
2859
    nq => auxsc55,
2860
    i1 => b(10),
2861
    i0 => sel);
2862
  auxsc54 : inv_x1
2863
    PORT MAP (
2864
    vss => vss,
2865
    vdd => vdd,
2866
    nq => auxsc54,
2867
    i => a(10));
2868
  auxsc50 : na2_x1
2869
    PORT MAP (
2870
    vss => vss,
2871
    vdd => vdd,
2872
    nq => auxsc50,
2873
    i1 => b(9),
2874
    i0 => sel);
2875
  auxsc49 : inv_x1
2876
    PORT MAP (
2877
    vss => vss,
2878
    vdd => vdd,
2879
    nq => auxsc49,
2880
    i => a(9));
2881
  auxsc45 : na2_x1
2882
    PORT MAP (
2883
    vss => vss,
2884
    vdd => vdd,
2885
    nq => auxsc45,
2886
    i1 => b(8),
2887
    i0 => sel);
2888
  auxsc44 : inv_x1
2889
    PORT MAP (
2890
    vss => vss,
2891
    vdd => vdd,
2892
    nq => auxsc44,
2893
    i => a(8));
2894
  auxsc40 : na2_x1
2895
    PORT MAP (
2896
    vss => vss,
2897
    vdd => vdd,
2898
    nq => auxsc40,
2899
    i1 => b(7),
2900
    i0 => sel);
2901
  auxsc39 : inv_x1
2902
    PORT MAP (
2903
    vss => vss,
2904
    vdd => vdd,
2905
    nq => auxsc39,
2906
    i => a(7));
2907
  auxsc35 : na2_x1
2908
    PORT MAP (
2909
    vss => vss,
2910
    vdd => vdd,
2911
    nq => auxsc35,
2912
    i1 => b(6),
2913
    i0 => sel);
2914
  auxsc34 : inv_x1
2915
    PORT MAP (
2916
    vss => vss,
2917
    vdd => vdd,
2918
    nq => auxsc34,
2919
    i => a(6));
2920
  auxsc30 : na2_x1
2921
    PORT MAP (
2922
    vss => vss,
2923
    vdd => vdd,
2924
    nq => auxsc30,
2925
    i1 => b(5),
2926
    i0 => sel);
2927
  auxsc29 : inv_x1
2928
    PORT MAP (
2929
    vss => vss,
2930
    vdd => vdd,
2931
    nq => auxsc29,
2932
    i => a(5));
2933
  auxsc25 : na2_x1
2934
    PORT MAP (
2935
    vss => vss,
2936
    vdd => vdd,
2937
    nq => auxsc25,
2938
    i1 => b(4),
2939
    i0 => sel);
2940
  auxsc24 : inv_x1
2941
    PORT MAP (
2942
    vss => vss,
2943
    vdd => vdd,
2944
    nq => auxsc24,
2945
    i => a(4));
2946
  auxsc20 : na2_x1
2947
    PORT MAP (
2948
    vss => vss,
2949
    vdd => vdd,
2950
    nq => auxsc20,
2951
    i1 => b(3),
2952
    i0 => sel);
2953
  auxsc19 : inv_x1
2954
    PORT MAP (
2955
    vss => vss,
2956
    vdd => vdd,
2957
    nq => auxsc19,
2958
    i => a(3));
2959
  auxsc15 : na2_x1
2960
    PORT MAP (
2961
    vss => vss,
2962
    vdd => vdd,
2963
    nq => auxsc15,
2964
    i1 => b(2),
2965
    i0 => sel);
2966
  auxsc14 : inv_x1
2967
    PORT MAP (
2968
    vss => vss,
2969
    vdd => vdd,
2970
    nq => auxsc14,
2971
    i => a(2));
2972
  auxsc10 : na2_x1
2973
    PORT MAP (
2974
    vss => vss,
2975
    vdd => vdd,
2976
    nq => auxsc10,
2977
    i1 => b(1),
2978
    i0 => sel);
2979
  auxsc9 : inv_x1
2980
    PORT MAP (
2981
    vss => vss,
2982
    vdd => vdd,
2983
    nq => auxsc9,
2984
    i => a(1));
2985
  auxsc5 : na2_x1
2986
    PORT MAP (
2987
    vss => vss,
2988
    vdd => vdd,
2989
    nq => auxsc5,
2990
    i1 => b(0),
2991
    i0 => sel);
2992
  auxsc4 : inv_x1
2993
    PORT MAP (
2994
    vss => vss,
2995
    vdd => vdd,
2996
    nq => auxsc4,
2997
    i => a(0));
2998
 
2999
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.