OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [tags/] [vlsi/] [key_regulator/] [mux8to4lynx.vst] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `mux8to4lynx`
2
--              date : Sun Jul 29 21:41:37 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY mux8to4lynx IS
8
  PORT (
9
  vss : linkage BIT;    -- vss
10
  vdd : linkage BIT;    -- vdd
11
  sel : linkage BIT;    -- sel
12
  o4 : linkage BIT_VECTOR (15 DOWNTO 0);        -- o4
13
  o3 : linkage BIT_VECTOR (15 DOWNTO 0);        -- o3
14
  o2 : linkage BIT_VECTOR (15 DOWNTO 0);        -- o2
15
  o1 : linkage BIT_VECTOR (15 DOWNTO 0);        -- o1
16
  i8 : linkage BIT_VECTOR (15 DOWNTO 0);        -- i8
17
  i7 : linkage BIT_VECTOR (15 DOWNTO 0);        -- i7
18
  i6 : linkage BIT_VECTOR (15 DOWNTO 0);        -- i6
19
  i5 : linkage BIT_VECTOR (15 DOWNTO 0);        -- i5
20
  i4 : linkage BIT_VECTOR (15 DOWNTO 0);        -- i4
21
  i3 : linkage BIT_VECTOR (15 DOWNTO 0);        -- i3
22
  i2 : linkage BIT_VECTOR (15 DOWNTO 0);        -- i2
23
  i1 : linkage BIT_VECTOR (15 DOWNTO 0);        -- i1
24
  en : linkage BIT;     -- en
25
  clr : linkage BIT     -- clr
26
  );
27
END mux8to4lynx;
28
 
29
-- Architecture Declaration
30
 
31
ARCHITECTURE VST OF mux8to4lynx IS
32
  COMPONENT sff1_x4
33
    port (
34
    vss : linkage BIT;  -- vss
35
    vdd : linkage BIT;  -- vdd
36
    q : linkage BIT;    -- q
37
    i : linkage BIT;    -- i
38
    ck : linkage BIT    -- ck
39
    );
40
  END COMPONENT;
41
 
42
  COMPONENT a2_x2
43
    port (
44
    vss : linkage BIT;  -- vss
45
    vdd : linkage BIT;  -- vdd
46
    q : linkage BIT;    -- q
47
    i1 : linkage BIT;   -- i1
48
    i0 : linkage BIT    -- i0
49
    );
50
  END COMPONENT;
51
 
52
  COMPONENT na2_x1
53
    port (
54
    vss : linkage BIT;  -- vss
55
    vdd : linkage BIT;  -- vdd
56
    nq : linkage BIT;   -- nq
57
    i1 : linkage BIT;   -- i1
58
    i0 : linkage BIT    -- i0
59
    );
60
  END COMPONENT;
61
 
62
  COMPONENT nao22_x1
63
    port (
64
    vss : linkage BIT;  -- vss
65
    vdd : linkage BIT;  -- vdd
66
    nq : linkage BIT;   -- nq
67
    i2 : linkage BIT;   -- i2
68
    i1 : linkage BIT;   -- i1
69
    i0 : linkage BIT    -- i0
70
    );
71
  END COMPONENT;
72
 
73
  COMPONENT inv_x1
74
    port (
75
    vss : linkage BIT;  -- vss
76
    vdd : linkage BIT;  -- vdd
77
    nq : linkage BIT;   -- nq
78
    i : linkage BIT     -- i
79
    );
80
  END COMPONENT;
81
 
82
  COMPONENT rowend_x0
83
    port (
84
    vss : linkage BIT;  -- vss
85
    vdd : linkage BIT   -- vdd
86
    );
87
  END COMPONENT;
88
 
89
  SIGNAL auxsc6 : BIT;  -- auxsc6
90
  SIGNAL auxsc7 : BIT;  -- auxsc7
91
  SIGNAL auxreg64 : BIT;        -- auxreg64
92
  SIGNAL auxsc168 : BIT;        -- auxsc168
93
  SIGNAL auxreg45 : BIT;        -- auxreg45
94
  SIGNAL auxsc35 : BIT; -- auxsc35
95
  SIGNAL auxsc32 : BIT; -- auxsc32
96
  SIGNAL auxreg5 : BIT; -- auxreg5
97
  SIGNAL auxsc175 : BIT;        -- auxsc175
98
  SIGNAL auxsc174 : BIT;        -- auxsc174
99
  SIGNAL auxreg25 : BIT;        -- auxreg25
100
  SIGNAL auxsc172 : BIT;        -- auxsc172
101
  SIGNAL auxreg9 : BIT; -- auxreg9
102
  SIGNAL auxsc60 : BIT; -- auxsc60
103
  SIGNAL auxsc63 : BIT; -- auxsc63
104
  SIGNAL auxsc62 : BIT; -- auxsc62
105
  SIGNAL auxreg62 : BIT;        -- auxreg62
106
  SIGNAL auxsc431 : BIT;        -- auxsc431
107
  SIGNAL auxsc434 : BIT;        -- auxsc434
108
  SIGNAL auxsc238 : BIT;        -- auxsc238
109
  SIGNAL auxsc419 : BIT;        -- auxsc419
110
  SIGNAL auxsc420 : BIT;        -- auxsc420
111
  SIGNAL auxreg60 : BIT;        -- auxreg60
112
  SIGNAL auxsc417 : BIT;        -- auxsc417
113
  SIGNAL auxsc167 : BIT;        -- auxsc167
114
  SIGNAL auxreg24 : BIT;        -- auxreg24
115
  SIGNAL auxsc165 : BIT;        -- auxsc165
116
  SIGNAL auxsc445 : BIT;        -- auxsc445
117
  SIGNAL auxsc312 : BIT;        -- auxsc312
118
  SIGNAL auxsc34 : BIT; -- auxsc34
119
  SIGNAL auxsc210 : BIT;        -- auxsc210
120
  SIGNAL auxsc433 : BIT;        -- auxsc433
121
  SIGNAL auxsc237 : BIT;        -- auxsc237
122
  SIGNAL auxsc235 : BIT;        -- auxsc235
123
  SIGNAL auxreg27 : BIT;        -- auxreg27
124
  SIGNAL auxsc382 : BIT;        -- auxsc382
125
  SIGNAL auxreg30 : BIT;        -- auxreg30
126
  SIGNAL auxsc207 : BIT;        -- auxsc207
127
  SIGNAL auxsc144 : BIT;        -- auxsc144
128
  SIGNAL auxsc209 : BIT;        -- auxsc209
129
  SIGNAL auxsc146 : BIT;        -- auxsc146
130
  SIGNAL auxsc154 : BIT;        -- auxsc154
131
  SIGNAL auxsc151 : BIT;        -- auxsc151
132
  SIGNAL auxsc315 : BIT;        -- auxsc315
133
  SIGNAL auxsc314 : BIT;        -- auxsc314
134
  SIGNAL auxsc112 : BIT;        -- auxsc112
135
  SIGNAL auxsc111 : BIT;        -- auxsc111
136
  SIGNAL auxsc384 : BIT;        -- auxsc384
137
  SIGNAL auxsc385 : BIT;        -- auxsc385
138
  SIGNAL auxreg55 : BIT;        -- auxreg55
139
  SIGNAL auxsc147 : BIT;        -- auxsc147
140
  SIGNAL auxsc224 : BIT;        -- auxsc224
141
  SIGNAL auxsc223 : BIT;        -- auxsc223
142
  SIGNAL auxreg32 : BIT;        -- auxreg32
143
  SIGNAL auxsc221 : BIT;        -- auxsc221
144
  SIGNAL auxreg34 : BIT;        -- auxreg34
145
  SIGNAL auxsc448 : BIT;        -- auxsc448
146
  SIGNAL auxsc153 : BIT;        -- auxsc153
147
  SIGNAL auxreg22 : BIT;        -- auxreg22
148
  SIGNAL auxsc189 : BIT;        -- auxsc189
149
  SIGNAL auxsc186 : BIT;        -- auxsc186
150
  SIGNAL auxsc251 : BIT;        -- auxsc251
151
  SIGNAL auxsc447 : BIT;        -- auxsc447
152
  SIGNAL auxsc4 : BIT;  -- auxsc4
153
  SIGNAL auxreg53 : BIT;        -- auxreg53
154
  SIGNAL auxsc109 : BIT;        -- auxsc109
155
  SIGNAL auxsc392 : BIT;        -- auxsc392
156
  SIGNAL auxsc391 : BIT;        -- auxsc391
157
  SIGNAL auxsc389 : BIT;        -- auxsc389
158
  SIGNAL auxreg21 : BIT;        -- auxreg21
159
  SIGNAL auxsc104 : BIT;        -- auxsc104
160
  SIGNAL auxsc105 : BIT;        -- auxsc105
161
  SIGNAL auxsc188 : BIT;        -- auxsc188
162
  SIGNAL auxsc203 : BIT;        -- auxsc203
163
  SIGNAL auxsc200 : BIT;        -- auxsc200
164
  SIGNAL auxreg14 : BIT;        -- auxreg14
165
  SIGNAL auxsc95 : BIT; -- auxsc95
166
  SIGNAL auxreg16 : BIT;        -- auxreg16
167
  SIGNAL auxsc102 : BIT;        -- auxsc102
168
  SIGNAL auxreg36 : BIT;        -- auxreg36
169
  SIGNAL auxsc252 : BIT;        -- auxsc252
170
  SIGNAL auxsc249 : BIT;        -- auxsc249
171
  SIGNAL auxsc216 : BIT;        -- auxsc216
172
  SIGNAL auxsc258 : BIT;        -- auxsc258
173
  SIGNAL auxsc97 : BIT; -- auxsc97
174
  SIGNAL auxsc202 : BIT;        -- auxsc202
175
  SIGNAL auxreg29 : BIT;        -- auxreg29
176
  SIGNAL auxreg28 : BIT;        -- auxreg28
177
  SIGNAL auxsc217 : BIT;        -- auxsc217
178
  SIGNAL auxsc193 : BIT;        -- auxsc193
179
  SIGNAL auxsc195 : BIT;        -- auxsc195
180
  SIGNAL auxsc196 : BIT;        -- auxsc196
181
  SIGNAL auxsc259 : BIT;        -- auxsc259
182
  SIGNAL auxreg1 : BIT; -- auxreg1
183
  SIGNAL auxsc98 : BIT; -- auxsc98
184
  SIGNAL auxsc368 : BIT;        -- auxsc368
185
  SIGNAL auxsc244 : BIT;        -- auxsc244
186
  SIGNAL auxreg56 : BIT;        -- auxreg56
187
  SIGNAL auxsc300 : BIT;        -- auxsc300
188
  SIGNAL auxreg15 : BIT;        -- auxreg15
189
  SIGNAL auxsc214 : BIT;        -- auxsc214
190
  SIGNAL auxsc256 : BIT;        -- auxsc256
191
  SIGNAL auxreg35 : BIT;        -- auxreg35
192
  SIGNAL auxsc242 : BIT;        -- auxsc242
193
  SIGNAL auxreg43 : BIT;        -- auxreg43
194
  SIGNAL auxsc298 : BIT;        -- auxsc298
195
  SIGNAL auxsc287 : BIT;        -- auxsc287
196
  SIGNAL auxsc370 : BIT;        -- auxsc370
197
  SIGNAL auxreg41 : BIT;        -- auxreg41
198
  SIGNAL auxsc284 : BIT;        -- auxsc284
199
  SIGNAL auxsc294 : BIT;        -- auxsc294
200
  SIGNAL auxsc293 : BIT;        -- auxsc293
201
  SIGNAL auxsc245 : BIT;        -- auxsc245
202
  SIGNAL auxreg42 : BIT;        -- auxreg42
203
  SIGNAL auxsc291 : BIT;        -- auxsc291
204
  SIGNAL auxsc139 : BIT;        -- auxsc139
205
  SIGNAL auxsc140 : BIT;        -- auxsc140
206
  SIGNAL auxsc301 : BIT;        -- auxsc301
207
  SIGNAL auxreg10 : BIT;        -- auxreg10
208
  SIGNAL auxreg31 : BIT;        -- auxreg31
209
  SIGNAL auxsc67 : BIT; -- auxsc67
210
  SIGNAL auxsc70 : BIT; -- auxsc70
211
  SIGNAL auxreg23 : BIT;        -- auxreg23
212
  SIGNAL auxsc158 : BIT;        -- auxsc158
213
  SIGNAL auxsc161 : BIT;        -- auxsc161
214
  SIGNAL auxsc371 : BIT;        -- auxsc371
215
  SIGNAL auxsc286 : BIT;        -- auxsc286
216
  SIGNAL auxsc137 : BIT;        -- auxsc137
217
  SIGNAL auxsc69 : BIT; -- auxsc69
218
  SIGNAL auxreg37 : BIT;        -- auxreg37
219
  SIGNAL auxsc160 : BIT;        -- auxsc160
220
  SIGNAL auxsc21 : BIT; -- auxsc21
221
  SIGNAL auxsc399 : BIT;        -- auxsc399
222
  SIGNAL auxreg3 : BIT; -- auxreg3
223
  SIGNAL auxsc396 : BIT;        -- auxsc396
224
  SIGNAL auxreg57 : BIT;        -- auxreg57
225
  SIGNAL auxreg20 : BIT;        -- auxreg20
226
  SIGNAL auxsc413 : BIT;        -- auxsc413
227
  SIGNAL auxsc412 : BIT;        -- auxsc412
228
  SIGNAL auxsc410 : BIT;        -- auxsc410
229
  SIGNAL auxsc20 : BIT; -- auxsc20
230
  SIGNAL auxsc398 : BIT;        -- auxsc398
231
  SIGNAL auxsc280 : BIT;        -- auxsc280
232
  SIGNAL auxsc279 : BIT;        -- auxsc279
233
  SIGNAL auxreg40 : BIT;        -- auxreg40
234
  SIGNAL auxsc277 : BIT;        -- auxsc277
235
  SIGNAL auxsc18 : BIT; -- auxsc18
236
  SIGNAL auxreg59 : BIT;        -- auxreg59
237
  SIGNAL auxsc266 : BIT;        -- auxsc266
238
  SIGNAL auxsc265 : BIT;        -- auxsc265
239
  SIGNAL auxsc263 : BIT;        -- auxsc263
240
  SIGNAL auxsc228 : BIT;        -- auxsc228
241
  SIGNAL auxsc230 : BIT;        -- auxsc230
242
  SIGNAL auxreg38 : BIT;        -- auxreg38
243
  SIGNAL auxsc76 : BIT; -- auxsc76
244
  SIGNAL auxsc77 : BIT; -- auxsc77
245
  SIGNAL auxreg54 : BIT;        -- auxreg54
246
  SIGNAL auxsc363 : BIT;        -- auxsc363
247
  SIGNAL auxreg11 : BIT;        -- auxreg11
248
  SIGNAL auxsc74 : BIT; -- auxsc74
249
  SIGNAL auxreg48 : BIT;        -- auxreg48
250
  SIGNAL auxsc336 : BIT;        -- auxsc336
251
  SIGNAL auxsc322 : BIT;        -- auxsc322
252
  SIGNAL auxsc319 : BIT;        -- auxsc319
253
  SIGNAL auxreg46 : BIT;        -- auxreg46
254
  SIGNAL auxreg44 : BIT;        -- auxreg44
255
  SIGNAL auxsc231 : BIT;        -- auxsc231
256
  SIGNAL auxsc375 : BIT;        -- auxsc375
257
  SIGNAL auxsc27 : BIT; -- auxsc27
258
  SIGNAL auxreg4 : BIT; -- auxreg4
259
  SIGNAL auxreg52 : BIT;        -- auxreg52
260
  SIGNAL auxsc361 : BIT;        -- auxsc361
261
  SIGNAL auxsc333 : BIT;        -- auxsc333
262
  SIGNAL auxsc335 : BIT;        -- auxsc335
263
  SIGNAL auxsc357 : BIT;        -- auxsc357
264
  SIGNAL auxreg51 : BIT;        -- auxreg51
265
  SIGNAL auxsc354 : BIT;        -- auxsc354
266
  SIGNAL auxsc182 : BIT;        -- auxsc182
267
  SIGNAL auxsc308 : BIT;        -- auxsc308
268
  SIGNAL auxreg13 : BIT;        -- auxreg13
269
  SIGNAL auxsc28 : BIT; -- auxsc28
270
  SIGNAL auxsc25 : BIT; -- auxsc25
271
  SIGNAL auxsc305 : BIT;        -- auxsc305
272
  SIGNAL auxsc307 : BIT;        -- auxsc307
273
  SIGNAL auxsc378 : BIT;        -- auxsc378
274
  SIGNAL auxsc377 : BIT;        -- auxsc377
275
  SIGNAL auxsc440 : BIT;        -- auxsc440
276
  SIGNAL auxsc441 : BIT;        -- auxsc441
277
  SIGNAL auxsc88 : BIT; -- auxsc88
278
  SIGNAL auxsc364 : BIT;        -- auxsc364
279
  SIGNAL auxreg7 : BIT; -- auxreg7
280
  SIGNAL auxsc46 : BIT; -- auxsc46
281
  SIGNAL auxsc321 : BIT;        -- auxsc321
282
  SIGNAL auxreg33 : BIT;        -- auxreg33
283
  SIGNAL auxsc179 : BIT;        -- auxsc179
284
  SIGNAL auxsc181 : BIT;        -- auxsc181
285
  SIGNAL auxsc438 : BIT;        -- auxsc438
286
  SIGNAL auxsc14 : BIT; -- auxsc14
287
  SIGNAL auxsc11 : BIT; -- auxsc11
288
  SIGNAL auxreg2 : BIT; -- auxreg2
289
  SIGNAL auxreg47 : BIT;        -- auxreg47
290
  SIGNAL auxsc329 : BIT;        -- auxsc329
291
  SIGNAL auxsc326 : BIT;        -- auxsc326
292
  SIGNAL auxsc328 : BIT;        -- auxsc328
293
  SIGNAL auxsc48 : BIT; -- auxsc48
294
  SIGNAL auxreg61 : BIT;        -- auxreg61
295
  SIGNAL auxsc343 : BIT;        -- auxsc343
296
  SIGNAL auxsc342 : BIT;        -- auxsc342
297
  SIGNAL auxreg49 : BIT;        -- auxreg49
298
  SIGNAL auxsc340 : BIT;        -- auxsc340
299
  SIGNAL auxsc273 : BIT;        -- auxsc273
300
  SIGNAL auxsc350 : BIT;        -- auxsc350
301
  SIGNAL auxsc349 : BIT;        -- auxsc349
302
  SIGNAL auxsc90 : BIT; -- auxsc90
303
  SIGNAL auxsc91 : BIT; -- auxsc91
304
  SIGNAL auxreg50 : BIT;        -- auxreg50
305
  SIGNAL auxsc347 : BIT;        -- auxsc347
306
  SIGNAL auxsc13 : BIT; -- auxsc13
307
  SIGNAL auxreg26 : BIT;        -- auxreg26
308
  SIGNAL auxsc272 : BIT;        -- auxsc272
309
  SIGNAL auxreg63 : BIT;        -- auxreg63
310
  SIGNAL auxsc119 : BIT;        -- auxsc119
311
  SIGNAL auxsc49 : BIT; -- auxsc49
312
  SIGNAL auxsc424 : BIT;        -- auxsc424
313
  SIGNAL auxsc356 : BIT;        -- auxsc356
314
  SIGNAL auxsc270 : BIT;        -- auxsc270
315
  SIGNAL auxsc449 : BIT;        -- auxsc449
316
  SIGNAL auxsc133 : BIT;        -- auxsc133
317
  SIGNAL auxsc132 : BIT;        -- auxsc132
318
  SIGNAL auxsc56 : BIT; -- auxsc56
319
  SIGNAL auxsc55 : BIT; -- auxsc55
320
  SIGNAL auxreg8 : BIT; -- auxreg8
321
  SIGNAL auxsc53 : BIT; -- auxsc53
322
  SIGNAL auxsc118 : BIT;        -- auxsc118
323
  SIGNAL auxreg19 : BIT;        -- auxreg19
324
  SIGNAL auxsc130 : BIT;        -- auxsc130
325
  SIGNAL auxsc126 : BIT;        -- auxsc126
326
  SIGNAL auxsc125 : BIT;        -- auxsc125
327
  SIGNAL auxsc123 : BIT;        -- auxsc123
328
  SIGNAL auxreg18 : BIT;        -- auxreg18
329
  SIGNAL auxsc116 : BIT;        -- auxsc116
330
  SIGNAL auxreg17 : BIT;        -- auxreg17
331
  SIGNAL auxreg12 : BIT;        -- auxreg12
332
  SIGNAL auxsc427 : BIT;        -- auxsc427
333
  SIGNAL auxsc426 : BIT;        -- auxsc426
334
  SIGNAL auxsc42 : BIT; -- auxsc42
335
  SIGNAL auxsc39 : BIT; -- auxsc39
336
  SIGNAL auxsc41 : BIT; -- auxsc41
337
  SIGNAL auxsc81 : BIT; -- auxsc81
338
  SIGNAL auxsc84 : BIT; -- auxsc84
339
  SIGNAL auxsc83 : BIT; -- auxsc83
340
  SIGNAL auxreg6 : BIT; -- auxreg6
341
  SIGNAL auxreg58 : BIT;        -- auxreg58
342
  SIGNAL auxsc406 : BIT;        -- auxsc406
343
  SIGNAL auxsc403 : BIT;        -- auxsc403
344
  SIGNAL auxsc405 : BIT;        -- auxsc405
345
  SIGNAL auxreg39 : BIT;        -- auxreg39
346
 
347
BEGIN
348
 
349
  o2_6 : a2_x2
350
    PORT MAP (
351
    i0 => auxsc449,
352
    i1 => auxreg39,
353
    q => o2(6),
354
    vdd => vdd,
355
    vss => vss);
356
  reg2_6 : sff1_x4
357
    PORT MAP (
358
    ck => en,
359
    i => auxsc270,
360
    q => auxreg39,
361
    vdd => vdd,
362
    vss => vss);
363
  auxsc405 : inv_x1
364
    PORT MAP (
365
    i => i5(9),
366
    nq => auxsc405,
367
    vdd => vdd,
368
    vss => vss);
369
  auxsc403 : nao22_x1
370
    PORT MAP (
371
    i0 => sel,
372
    i1 => auxsc405,
373
    i2 => auxsc406,
374
    nq => auxsc403,
375
    vdd => vdd,
376
    vss => vss);
377
  auxsc406 : na2_x1
378
    PORT MAP (
379
    i0 => sel,
380
    i1 => i1(9),
381
    nq => auxsc406,
382
    vdd => vdd,
383
    vss => vss);
384
  reg1_9 : sff1_x4
385
    PORT MAP (
386
    ck => en,
387
    i => auxsc403,
388
    q => auxreg58,
389
    vdd => vdd,
390
    vss => vss);
391
  o1_9 : a2_x2
392
    PORT MAP (
393
    i0 => auxsc449,
394
    i1 => auxreg58,
395
    q => o1(9),
396
    vdd => vdd,
397
    vss => vss);
398
  o4_5 : a2_x2
399
    PORT MAP (
400
    i0 => auxsc449,
401
    i1 => auxreg6,
402
    q => o4(5),
403
    vdd => vdd,
404
    vss => vss);
405
  auxsc83 : inv_x1
406
    PORT MAP (
407
    i => i8(11),
408
    nq => auxsc83,
409
    vdd => vdd,
410
    vss => vss);
411
  auxsc84 : na2_x1
412
    PORT MAP (
413
    i0 => sel,
414
    i1 => i4(11),
415
    nq => auxsc84,
416
    vdd => vdd,
417
    vss => vss);
418
  auxsc81 : nao22_x1
419
    PORT MAP (
420
    i0 => sel,
421
    i1 => auxsc83,
422
    i2 => auxsc84,
423
    nq => auxsc81,
424
    vdd => vdd,
425
    vss => vss);
426
  auxsc356 : inv_x1
427
    PORT MAP (
428
    i => i5(2),
429
    nq => auxsc356,
430
    vdd => vdd,
431
    vss => vss);
432
  auxsc41 : inv_x1
433
    PORT MAP (
434
    i => i8(5),
435
    nq => auxsc41,
436
    vdd => vdd,
437
    vss => vss);
438
  reg4_5 : sff1_x4
439
    PORT MAP (
440
    ck => en,
441
    i => auxsc39,
442
    q => auxreg6,
443
    vdd => vdd,
444
    vss => vss);
445
  auxsc39 : nao22_x1
446
    PORT MAP (
447
    i0 => sel,
448
    i1 => auxsc41,
449
    i2 => auxsc42,
450
    nq => auxsc39,
451
    vdd => vdd,
452
    vss => vss);
453
  auxsc42 : na2_x1
454
    PORT MAP (
455
    i0 => sel,
456
    i1 => i4(5),
457
    nq => auxsc42,
458
    vdd => vdd,
459
    vss => vss);
460
  auxsc424 : nao22_x1
461
    PORT MAP (
462
    i0 => sel,
463
    i1 => auxsc426,
464
    i2 => auxsc427,
465
    nq => auxsc424,
466
    vdd => vdd,
467
    vss => vss);
468
  auxsc427 : na2_x1
469
    PORT MAP (
470
    i0 => sel,
471
    i1 => i1(12),
472
    nq => auxsc427,
473
    vdd => vdd,
474
    vss => vss);
475
  auxsc426 : inv_x1
476
    PORT MAP (
477
    i => i5(12),
478
    nq => auxsc426,
479
    vdd => vdd,
480
    vss => vss);
481
  auxsc49 : na2_x1
482
    PORT MAP (
483
    i0 => sel,
484
    i1 => i4(6),
485
    nq => auxsc49,
486
    vdd => vdd,
487
    vss => vss);
488
  reg4_11 : sff1_x4
489
    PORT MAP (
490
    ck => en,
491
    i => auxsc81,
492
    q => auxreg12,
493
    vdd => vdd,
494
    vss => vss);
495
  feed21 : rowend_x0
496
    PORT MAP (
497
    vdd => vdd,
498
    vss => vss);
499
  feed22 : rowend_x0
500
    PORT MAP (
501
    vdd => vdd,
502
    vss => vss);
503
  feed23 : rowend_x0
504
    PORT MAP (
505
    vdd => vdd,
506
    vss => vss);
507
  feed24 : rowend_x0
508
    PORT MAP (
509
    vdd => vdd,
510
    vss => vss);
511
  feed25 : rowend_x0
512
    PORT MAP (
513
    vdd => vdd,
514
    vss => vss);
515
  feed26 : rowend_x0
516
    PORT MAP (
517
    vdd => vdd,
518
    vss => vss);
519
  feed27 : rowend_x0
520
    PORT MAP (
521
    vdd => vdd,
522
    vss => vss);
523
  feed28 : rowend_x0
524
    PORT MAP (
525
    vdd => vdd,
526
    vss => vss);
527
  feed29 : rowend_x0
528
    PORT MAP (
529
    vdd => vdd,
530
    vss => vss);
531
  feed30 : rowend_x0
532
    PORT MAP (
533
    vdd => vdd,
534
    vss => vss);
535
  feed31 : rowend_x0
536
    PORT MAP (
537
    vdd => vdd,
538
    vss => vss);
539
  feed32 : rowend_x0
540
    PORT MAP (
541
    vdd => vdd,
542
    vss => vss);
543
  feed33 : rowend_x0
544
    PORT MAP (
545
    vdd => vdd,
546
    vss => vss);
547
  feed34 : rowend_x0
548
    PORT MAP (
549
    vdd => vdd,
550
    vss => vss);
551
  feed35 : rowend_x0
552
    PORT MAP (
553
    vdd => vdd,
554
    vss => vss);
555
  feed36 : rowend_x0
556
    PORT MAP (
557
    vdd => vdd,
558
    vss => vss);
559
  o4_11 : a2_x2
560
    PORT MAP (
561
    i0 => auxsc449,
562
    i1 => auxreg12,
563
    q => o4(11),
564
    vdd => vdd,
565
    vss => vss);
566
  o3_0 : a2_x2
567
    PORT MAP (
568
    i0 => auxsc449,
569
    i1 => auxreg17,
570
    q => o3(0),
571
    vdd => vdd,
572
    vss => vss);
573
  reg3_0 : sff1_x4
574
    PORT MAP (
575
    ck => en,
576
    i => auxsc116,
577
    q => auxreg17,
578
    vdd => vdd,
579
    vss => vss);
580
  o3_1 : a2_x2
581
    PORT MAP (
582
    i0 => auxsc449,
583
    i1 => auxreg18,
584
    q => o3(1),
585
    vdd => vdd,
586
    vss => vss);
587
  reg3_1 : sff1_x4
588
    PORT MAP (
589
    ck => en,
590
    i => auxsc123,
591
    q => auxreg18,
592
    vdd => vdd,
593
    vss => vss);
594
  auxsc123 : nao22_x1
595
    PORT MAP (
596
    i0 => sel,
597
    i1 => auxsc125,
598
    i2 => auxsc126,
599
    nq => auxsc123,
600
    vdd => vdd,
601
    vss => vss);
602
  auxsc126 : na2_x1
603
    PORT MAP (
604
    i0 => sel,
605
    i1 => i3(1),
606
    nq => auxsc126,
607
    vdd => vdd,
608
    vss => vss);
609
  auxsc125 : inv_x1
610
    PORT MAP (
611
    i => i7(1),
612
    nq => auxsc125,
613
    vdd => vdd,
614
    vss => vss);
615
  reg3_2 : sff1_x4
616
    PORT MAP (
617
    ck => en,
618
    i => auxsc130,
619
    q => auxreg19,
620
    vdd => vdd,
621
    vss => vss);
622
  auxsc116 : nao22_x1
623
    PORT MAP (
624
    i0 => sel,
625
    i1 => auxsc118,
626
    i2 => auxsc119,
627
    nq => auxsc116,
628
    vdd => vdd,
629
    vss => vss);
630
  o3_2 : a2_x2
631
    PORT MAP (
632
    i0 => auxsc449,
633
    i1 => auxreg19,
634
    q => o3(2),
635
    vdd => vdd,
636
    vss => vss);
637
  reg4_7 : sff1_x4
638
    PORT MAP (
639
    ck => en,
640
    i => auxsc53,
641
    q => auxreg8,
642
    vdd => vdd,
643
    vss => vss);
644
  o4_7 : a2_x2
645
    PORT MAP (
646
    i0 => auxsc449,
647
    i1 => auxreg8,
648
    q => o4(7),
649
    vdd => vdd,
650
    vss => vss);
651
  auxsc53 : nao22_x1
652
    PORT MAP (
653
    i0 => sel,
654
    i1 => auxsc55,
655
    i2 => auxsc56,
656
    nq => auxsc53,
657
    vdd => vdd,
658
    vss => vss);
659
  auxsc56 : na2_x1
660
    PORT MAP (
661
    i0 => sel,
662
    i1 => i4(7),
663
    nq => auxsc56,
664
    vdd => vdd,
665
    vss => vss);
666
  auxsc55 : inv_x1
667
    PORT MAP (
668
    i => i8(7),
669
    nq => auxsc55,
670
    vdd => vdd,
671
    vss => vss);
672
  auxsc130 : nao22_x1
673
    PORT MAP (
674
    i0 => sel,
675
    i1 => auxsc132,
676
    i2 => auxsc133,
677
    nq => auxsc130,
678
    vdd => vdd,
679
    vss => vss);
680
  auxsc133 : na2_x1
681
    PORT MAP (
682
    i0 => sel,
683
    i1 => i3(2),
684
    nq => auxsc133,
685
    vdd => vdd,
686
    vss => vss);
687
  auxsc132 : inv_x1
688
    PORT MAP (
689
    i => i7(2),
690
    nq => auxsc132,
691
    vdd => vdd,
692
    vss => vss);
693
  auxsc118 : inv_x1
694
    PORT MAP (
695
    i => i7(0),
696
    nq => auxsc118,
697
    vdd => vdd,
698
    vss => vss);
699
  reg1_14 : sff1_x4
700
    PORT MAP (
701
    ck => en,
702
    i => auxsc438,
703
    q => auxreg63,
704
    vdd => vdd,
705
    vss => vss);
706
  o1_14 : a2_x2
707
    PORT MAP (
708
    i0 => auxsc449,
709
    i1 => auxreg63,
710
    q => o1(14),
711
    vdd => vdd,
712
    vss => vss);
713
  auxsc270 : nao22_x1
714
    PORT MAP (
715
    i0 => sel,
716
    i1 => auxsc272,
717
    i2 => auxsc273,
718
    nq => auxsc270,
719
    vdd => vdd,
720
    vss => vss);
721
  auxsc272 : inv_x1
722
    PORT MAP (
723
    i => i6(6),
724
    nq => auxsc272,
725
    vdd => vdd,
726
    vss => vss);
727
  o3_9 : a2_x2
728
    PORT MAP (
729
    i0 => auxsc449,
730
    i1 => auxreg26,
731
    q => o3(9),
732
    vdd => vdd,
733
    vss => vss);
734
  auxsc273 : na2_x1
735
    PORT MAP (
736
    i0 => sel,
737
    i1 => i2(6),
738
    nq => auxsc273,
739
    vdd => vdd,
740
    vss => vss);
741
  auxsc181 : inv_x1
742
    PORT MAP (
743
    i => i7(9),
744
    nq => auxsc181,
745
    vdd => vdd,
746
    vss => vss);
747
  reg3_9 : sff1_x4
748
    PORT MAP (
749
    ck => en,
750
    i => auxsc179,
751
    q => auxreg26,
752
    vdd => vdd,
753
    vss => vss);
754
  o2_0 : a2_x2
755
    PORT MAP (
756
    i0 => auxsc449,
757
    i1 => auxreg33,
758
    q => o2(0),
759
    vdd => vdd,
760
    vss => vss);
761
  reg1_0 : sff1_x4
762
    PORT MAP (
763
    ck => en,
764
    i => auxsc340,
765
    q => auxreg49,
766
    vdd => vdd,
767
    vss => vss);
768
  o1_0 : a2_x2
769
    PORT MAP (
770
    i0 => auxsc449,
771
    i1 => auxreg49,
772
    q => o1(0),
773
    vdd => vdd,
774
    vss => vss);
775
  auxsc340 : nao22_x1
776
    PORT MAP (
777
    i0 => sel,
778
    i1 => auxsc342,
779
    i2 => auxsc343,
780
    nq => auxsc340,
781
    vdd => vdd,
782
    vss => vss);
783
  auxsc343 : na2_x1
784
    PORT MAP (
785
    i0 => sel,
786
    i1 => i1(0),
787
    nq => auxsc343,
788
    vdd => vdd,
789
    vss => vss);
790
  reg1_12 : sff1_x4
791
    PORT MAP (
792
    ck => en,
793
    i => auxsc424,
794
    q => auxreg61,
795
    vdd => vdd,
796
    vss => vss);
797
  auxsc321 : inv_x1
798
    PORT MAP (
799
    i => i6(13),
800
    nq => auxsc321,
801
    vdd => vdd,
802
    vss => vss);
803
  auxsc342 : inv_x1
804
    PORT MAP (
805
    i => i5(0),
806
    nq => auxsc342,
807
    vdd => vdd,
808
    vss => vss);
809
  o1_12 : a2_x2
810
    PORT MAP (
811
    i0 => auxsc449,
812
    i1 => auxreg61,
813
    q => o1(12),
814
    vdd => vdd,
815
    vss => vss);
816
  reg4_6 : sff1_x4
817
    PORT MAP (
818
    ck => en,
819
    i => auxsc46,
820
    q => auxreg7,
821
    vdd => vdd,
822
    vss => vss);
823
  feed37 : rowend_x0
824
    PORT MAP (
825
    vdd => vdd,
826
    vss => vss);
827
  feed38 : rowend_x0
828
    PORT MAP (
829
    vdd => vdd,
830
    vss => vss);
831
  feed39 : rowend_x0
832
    PORT MAP (
833
    vdd => vdd,
834
    vss => vss);
835
  feed40 : rowend_x0
836
    PORT MAP (
837
    vdd => vdd,
838
    vss => vss);
839
  feed41 : rowend_x0
840
    PORT MAP (
841
    vdd => vdd,
842
    vss => vss);
843
  feed42 : rowend_x0
844
    PORT MAP (
845
    vdd => vdd,
846
    vss => vss);
847
  feed43 : rowend_x0
848
    PORT MAP (
849
    vdd => vdd,
850
    vss => vss);
851
  feed44 : rowend_x0
852
    PORT MAP (
853
    vdd => vdd,
854
    vss => vss);
855
  feed45 : rowend_x0
856
    PORT MAP (
857
    vdd => vdd,
858
    vss => vss);
859
  feed46 : rowend_x0
860
    PORT MAP (
861
    vdd => vdd,
862
    vss => vss);
863
  feed47 : rowend_x0
864
    PORT MAP (
865
    vdd => vdd,
866
    vss => vss);
867
  feed48 : rowend_x0
868
    PORT MAP (
869
    vdd => vdd,
870
    vss => vss);
871
  feed49 : rowend_x0
872
    PORT MAP (
873
    vdd => vdd,
874
    vss => vss);
875
  feed50 : rowend_x0
876
    PORT MAP (
877
    vdd => vdd,
878
    vss => vss);
879
  feed51 : rowend_x0
880
    PORT MAP (
881
    vdd => vdd,
882
    vss => vss);
883
  feed52 : rowend_x0
884
    PORT MAP (
885
    vdd => vdd,
886
    vss => vss);
887
  auxsc46 : nao22_x1
888
    PORT MAP (
889
    i0 => sel,
890
    i1 => auxsc48,
891
    i2 => auxsc49,
892
    nq => auxsc46,
893
    vdd => vdd,
894
    vss => vss);
895
  auxsc48 : inv_x1
896
    PORT MAP (
897
    i => i8(6),
898
    nq => auxsc48,
899
    vdd => vdd,
900
    vss => vss);
901
  auxsc328 : inv_x1
902
    PORT MAP (
903
    i => i6(14),
904
    nq => auxsc328,
905
    vdd => vdd,
906
    vss => vss);
907
  auxsc326 : nao22_x1
908
    PORT MAP (
909
    i0 => sel,
910
    i1 => auxsc328,
911
    i2 => auxsc329,
912
    nq => auxsc326,
913
    vdd => vdd,
914
    vss => vss);
915
  reg2_14 : sff1_x4
916
    PORT MAP (
917
    ck => en,
918
    i => auxsc326,
919
    q => auxreg47,
920
    vdd => vdd,
921
    vss => vss);
922
  o2_14 : a2_x2
923
    PORT MAP (
924
    i0 => auxsc449,
925
    i1 => auxreg47,
926
    q => o2(14),
927
    vdd => vdd,
928
    vss => vss);
929
  o4_1 : a2_x2
930
    PORT MAP (
931
    i0 => auxsc449,
932
    i1 => auxreg2,
933
    q => o4(1),
934
    vdd => vdd,
935
    vss => vss);
936
  auxsc329 : na2_x1
937
    PORT MAP (
938
    i0 => sel,
939
    i1 => i2(14),
940
    nq => auxsc329,
941
    vdd => vdd,
942
    vss => vss);
943
  reg4_1 : sff1_x4
944
    PORT MAP (
945
    ck => en,
946
    i => auxsc11,
947
    q => auxreg2,
948
    vdd => vdd,
949
    vss => vss);
950
  auxsc14 : na2_x1
951
    PORT MAP (
952
    i0 => sel,
953
    i1 => i4(1),
954
    nq => auxsc14,
955
    vdd => vdd,
956
    vss => vss);
957
  auxsc11 : nao22_x1
958
    PORT MAP (
959
    i0 => sel,
960
    i1 => auxsc13,
961
    i2 => auxsc14,
962
    nq => auxsc11,
963
    vdd => vdd,
964
    vss => vss);
965
  auxsc13 : inv_x1
966
    PORT MAP (
967
    i => i8(1),
968
    nq => auxsc13,
969
    vdd => vdd,
970
    vss => vss);
971
  auxsc119 : na2_x1
972
    PORT MAP (
973
    i0 => sel,
974
    i1 => i3(0),
975
    nq => auxsc119,
976
    vdd => vdd,
977
    vss => vss);
978
  reg1_1 : sff1_x4
979
    PORT MAP (
980
    ck => en,
981
    i => auxsc347,
982
    q => auxreg50,
983
    vdd => vdd,
984
    vss => vss);
985
  o1_1 : a2_x2
986
    PORT MAP (
987
    i0 => auxsc449,
988
    i1 => auxreg50,
989
    q => o1(1),
990
    vdd => vdd,
991
    vss => vss);
992
  auxsc364 : na2_x1
993
    PORT MAP (
994
    i0 => sel,
995
    i1 => i1(3),
996
    nq => auxsc364,
997
    vdd => vdd,
998
    vss => vss);
999
  auxsc91 : na2_x1
1000
    PORT MAP (
1001
    i0 => sel,
1002
    i1 => i4(12),
1003
    nq => auxsc91,
1004
    vdd => vdd,
1005
    vss => vss);
1006
  auxsc88 : nao22_x1
1007
    PORT MAP (
1008
    i0 => sel,
1009
    i1 => auxsc90,
1010
    i2 => auxsc91,
1011
    nq => auxsc88,
1012
    vdd => vdd,
1013
    vss => vss);
1014
  auxsc347 : nao22_x1
1015
    PORT MAP (
1016
    i0 => sel,
1017
    i1 => auxsc349,
1018
    i2 => auxsc350,
1019
    nq => auxsc347,
1020
    vdd => vdd,
1021
    vss => vss);
1022
  auxsc90 : inv_x1
1023
    PORT MAP (
1024
    i => i8(12),
1025
    nq => auxsc90,
1026
    vdd => vdd,
1027
    vss => vss);
1028
  auxsc349 : inv_x1
1029
    PORT MAP (
1030
    i => i5(1),
1031
    nq => auxsc349,
1032
    vdd => vdd,
1033
    vss => vss);
1034
  auxsc449 : inv_x1
1035
    PORT MAP (
1036
    i => clr,
1037
    nq => auxsc449,
1038
    vdd => vdd,
1039
    vss => vss);
1040
  auxsc350 : na2_x1
1041
    PORT MAP (
1042
    i0 => sel,
1043
    i1 => i1(1),
1044
    nq => auxsc350,
1045
    vdd => vdd,
1046
    vss => vss);
1047
  row0 : rowend_x0
1048
    PORT MAP (
1049
    vdd => vdd,
1050
    vss => vss);
1051
  row1 : rowend_x0
1052
    PORT MAP (
1053
    vdd => vdd,
1054
    vss => vss);
1055
  row2 : rowend_x0
1056
    PORT MAP (
1057
    vdd => vdd,
1058
    vss => vss);
1059
  auxsc438 : nao22_x1
1060
    PORT MAP (
1061
    i0 => sel,
1062
    i1 => auxsc440,
1063
    i2 => auxsc441,
1064
    nq => auxsc438,
1065
    vdd => vdd,
1066
    vss => vss);
1067
  auxsc441 : na2_x1
1068
    PORT MAP (
1069
    i0 => sel,
1070
    i1 => i1(14),
1071
    nq => auxsc441,
1072
    vdd => vdd,
1073
    vss => vss);
1074
  auxsc375 : nao22_x1
1075
    PORT MAP (
1076
    i0 => sel,
1077
    i1 => auxsc377,
1078
    i2 => auxsc378,
1079
    nq => auxsc375,
1080
    vdd => vdd,
1081
    vss => vss);
1082
  auxsc440 : inv_x1
1083
    PORT MAP (
1084
    i => i5(14),
1085
    nq => auxsc440,
1086
    vdd => vdd,
1087
    vss => vss);
1088
  auxsc377 : inv_x1
1089
    PORT MAP (
1090
    i => i5(5),
1091
    nq => auxsc377,
1092
    vdd => vdd,
1093
    vss => vss);
1094
  auxsc378 : na2_x1
1095
    PORT MAP (
1096
    i0 => sel,
1097
    i1 => i1(5),
1098
    nq => auxsc378,
1099
    vdd => vdd,
1100
    vss => vss);
1101
  auxsc308 : na2_x1
1102
    PORT MAP (
1103
    i0 => sel,
1104
    i1 => i2(11),
1105
    nq => auxsc308,
1106
    vdd => vdd,
1107
    vss => vss);
1108
  auxsc305 : nao22_x1
1109
    PORT MAP (
1110
    i0 => sel,
1111
    i1 => auxsc307,
1112
    i2 => auxsc308,
1113
    nq => auxsc305,
1114
    vdd => vdd,
1115
    vss => vss);
1116
  auxsc307 : inv_x1
1117
    PORT MAP (
1118
    i => i6(11),
1119
    nq => auxsc307,
1120
    vdd => vdd,
1121
    vss => vss);
1122
  auxsc231 : na2_x1
1123
    PORT MAP (
1124
    i0 => sel,
1125
    i1 => i2(0),
1126
    nq => auxsc231,
1127
    vdd => vdd,
1128
    vss => vss);
1129
  auxsc182 : na2_x1
1130
    PORT MAP (
1131
    i0 => sel,
1132
    i1 => i3(9),
1133
    nq => auxsc182,
1134
    vdd => vdd,
1135
    vss => vss);
1136
  auxsc179 : nao22_x1
1137
    PORT MAP (
1138
    i0 => sel,
1139
    i1 => auxsc181,
1140
    i2 => auxsc182,
1141
    nq => auxsc179,
1142
    vdd => vdd,
1143
    vss => vss);
1144
  reg1_2 : sff1_x4
1145
    PORT MAP (
1146
    ck => en,
1147
    i => auxsc354,
1148
    q => auxreg51,
1149
    vdd => vdd,
1150
    vss => vss);
1151
  o1_2 : a2_x2
1152
    PORT MAP (
1153
    i0 => auxsc449,
1154
    i1 => auxreg51,
1155
    q => o1(2),
1156
    vdd => vdd,
1157
    vss => vss);
1158
  auxsc354 : nao22_x1
1159
    PORT MAP (
1160
    i0 => sel,
1161
    i1 => auxsc356,
1162
    i2 => auxsc357,
1163
    nq => auxsc354,
1164
    vdd => vdd,
1165
    vss => vss);
1166
  auxsc357 : na2_x1
1167
    PORT MAP (
1168
    i0 => sel,
1169
    i1 => i1(2),
1170
    nq => auxsc357,
1171
    vdd => vdd,
1172
    vss => vss);
1173
  reg2_11 : sff1_x4
1174
    PORT MAP (
1175
    ck => en,
1176
    i => auxsc305,
1177
    q => auxreg44,
1178
    vdd => vdd,
1179
    vss => vss);
1180
  o2_11 : a2_x2
1181
    PORT MAP (
1182
    i0 => auxsc449,
1183
    i1 => auxreg44,
1184
    q => o2(11),
1185
    vdd => vdd,
1186
    vss => vss);
1187
  o2_13 : a2_x2
1188
    PORT MAP (
1189
    i0 => auxsc449,
1190
    i1 => auxreg46,
1191
    q => o2(13),
1192
    vdd => vdd,
1193
    vss => vss);
1194
  auxsc319 : nao22_x1
1195
    PORT MAP (
1196
    i0 => sel,
1197
    i1 => auxsc321,
1198
    i2 => auxsc322,
1199
    nq => auxsc319,
1200
    vdd => vdd,
1201
    vss => vss);
1202
  auxsc322 : na2_x1
1203
    PORT MAP (
1204
    i0 => sel,
1205
    i1 => i2(13),
1206
    nq => auxsc322,
1207
    vdd => vdd,
1208
    vss => vss);
1209
  reg2_13 : sff1_x4
1210
    PORT MAP (
1211
    ck => en,
1212
    i => auxsc319,
1213
    q => auxreg46,
1214
    vdd => vdd,
1215
    vss => vss);
1216
  o4_6 : a2_x2
1217
    PORT MAP (
1218
    i0 => auxsc449,
1219
    i1 => auxreg7,
1220
    q => o4(6),
1221
    vdd => vdd,
1222
    vss => vss);
1223
  feed53 : rowend_x0
1224
    PORT MAP (
1225
    vdd => vdd,
1226
    vss => vss);
1227
  feed54 : rowend_x0
1228
    PORT MAP (
1229
    vdd => vdd,
1230
    vss => vss);
1231
  feed55 : rowend_x0
1232
    PORT MAP (
1233
    vdd => vdd,
1234
    vss => vss);
1235
  feed56 : rowend_x0
1236
    PORT MAP (
1237
    vdd => vdd,
1238
    vss => vss);
1239
  feed57 : rowend_x0
1240
    PORT MAP (
1241
    vdd => vdd,
1242
    vss => vss);
1243
  feed58 : rowend_x0
1244
    PORT MAP (
1245
    vdd => vdd,
1246
    vss => vss);
1247
  feed59 : rowend_x0
1248
    PORT MAP (
1249
    vdd => vdd,
1250
    vss => vss);
1251
  feed60 : rowend_x0
1252
    PORT MAP (
1253
    vdd => vdd,
1254
    vss => vss);
1255
  feed61 : rowend_x0
1256
    PORT MAP (
1257
    vdd => vdd,
1258
    vss => vss);
1259
  feed62 : rowend_x0
1260
    PORT MAP (
1261
    vdd => vdd,
1262
    vss => vss);
1263
  feed63 : rowend_x0
1264
    PORT MAP (
1265
    vdd => vdd,
1266
    vss => vss);
1267
  feed64 : rowend_x0
1268
    PORT MAP (
1269
    vdd => vdd,
1270
    vss => vss);
1271
  feed65 : rowend_x0
1272
    PORT MAP (
1273
    vdd => vdd,
1274
    vss => vss);
1275
  feed66 : rowend_x0
1276
    PORT MAP (
1277
    vdd => vdd,
1278
    vss => vss);
1279
  feed67 : rowend_x0
1280
    PORT MAP (
1281
    vdd => vdd,
1282
    vss => vss);
1283
  feed68 : rowend_x0
1284
    PORT MAP (
1285
    vdd => vdd,
1286
    vss => vss);
1287
  auxsc336 : na2_x1
1288
    PORT MAP (
1289
    i0 => sel,
1290
    i1 => i2(15),
1291
    nq => auxsc336,
1292
    vdd => vdd,
1293
    vss => vss);
1294
  auxsc335 : inv_x1
1295
    PORT MAP (
1296
    i => i6(15),
1297
    nq => auxsc335,
1298
    vdd => vdd,
1299
    vss => vss);
1300
  auxsc333 : nao22_x1
1301
    PORT MAP (
1302
    i0 => sel,
1303
    i1 => auxsc335,
1304
    i2 => auxsc336,
1305
    nq => auxsc333,
1306
    vdd => vdd,
1307
    vss => vss);
1308
  reg2_15 : sff1_x4
1309
    PORT MAP (
1310
    ck => en,
1311
    i => auxsc333,
1312
    q => auxreg48,
1313
    vdd => vdd,
1314
    vss => vss);
1315
  reg1_3 : sff1_x4
1316
    PORT MAP (
1317
    ck => en,
1318
    i => auxsc361,
1319
    q => auxreg52,
1320
    vdd => vdd,
1321
    vss => vss);
1322
  o1_3 : a2_x2
1323
    PORT MAP (
1324
    i0 => auxsc449,
1325
    i1 => auxreg52,
1326
    q => o1(3),
1327
    vdd => vdd,
1328
    vss => vss);
1329
  o2_15 : a2_x2
1330
    PORT MAP (
1331
    i0 => auxsc449,
1332
    i1 => auxreg48,
1333
    q => o2(15),
1334
    vdd => vdd,
1335
    vss => vss);
1336
  o4_3 : a2_x2
1337
    PORT MAP (
1338
    i0 => auxsc449,
1339
    i1 => auxreg4,
1340
    q => o4(3),
1341
    vdd => vdd,
1342
    vss => vss);
1343
  reg4_3 : sff1_x4
1344
    PORT MAP (
1345
    ck => en,
1346
    i => auxsc25,
1347
    q => auxreg4,
1348
    vdd => vdd,
1349
    vss => vss);
1350
  auxsc25 : nao22_x1
1351
    PORT MAP (
1352
    i0 => sel,
1353
    i1 => auxsc27,
1354
    i2 => auxsc28,
1355
    nq => auxsc25,
1356
    vdd => vdd,
1357
    vss => vss);
1358
  auxsc28 : na2_x1
1359
    PORT MAP (
1360
    i0 => sel,
1361
    i1 => i4(3),
1362
    nq => auxsc28,
1363
    vdd => vdd,
1364
    vss => vss);
1365
  auxsc27 : inv_x1
1366
    PORT MAP (
1367
    i => i8(3),
1368
    nq => auxsc27,
1369
    vdd => vdd,
1370
    vss => vss);
1371
  reg4_12 : sff1_x4
1372
    PORT MAP (
1373
    ck => en,
1374
    i => auxsc88,
1375
    q => auxreg13,
1376
    vdd => vdd,
1377
    vss => vss);
1378
  auxsc361 : nao22_x1
1379
    PORT MAP (
1380
    i0 => sel,
1381
    i1 => auxsc363,
1382
    i2 => auxsc364,
1383
    nq => auxsc361,
1384
    vdd => vdd,
1385
    vss => vss);
1386
  o4_12 : a2_x2
1387
    PORT MAP (
1388
    i0 => auxsc449,
1389
    i1 => auxreg13,
1390
    q => o4(12),
1391
    vdd => vdd,
1392
    vss => vss);
1393
  reg4_10 : sff1_x4
1394
    PORT MAP (
1395
    ck => en,
1396
    i => auxsc74,
1397
    q => auxreg11,
1398
    vdd => vdd,
1399
    vss => vss);
1400
  row3 : rowend_x0
1401
    PORT MAP (
1402
    vdd => vdd,
1403
    vss => vss);
1404
  row4 : rowend_x0
1405
    PORT MAP (
1406
    vdd => vdd,
1407
    vss => vss);
1408
  o1_5 : a2_x2
1409
    PORT MAP (
1410
    i0 => auxsc449,
1411
    i1 => auxreg54,
1412
    q => o1(5),
1413
    vdd => vdd,
1414
    vss => vss);
1415
  reg1_5 : sff1_x4
1416
    PORT MAP (
1417
    ck => en,
1418
    i => auxsc375,
1419
    q => auxreg54,
1420
    vdd => vdd,
1421
    vss => vss);
1422
  o2_4 : a2_x2
1423
    PORT MAP (
1424
    i0 => auxsc449,
1425
    i1 => auxreg37,
1426
    q => o2(4),
1427
    vdd => vdd,
1428
    vss => vss);
1429
  auxsc160 : inv_x1
1430
    PORT MAP (
1431
    i => i7(6),
1432
    nq => auxsc160,
1433
    vdd => vdd,
1434
    vss => vss);
1435
  o2_5 : a2_x2
1436
    PORT MAP (
1437
    i0 => auxsc449,
1438
    i1 => auxreg38,
1439
    q => o2(5),
1440
    vdd => vdd,
1441
    vss => vss);
1442
  auxsc230 : inv_x1
1443
    PORT MAP (
1444
    i => i6(0),
1445
    nq => auxsc230,
1446
    vdd => vdd,
1447
    vss => vss);
1448
  auxsc228 : nao22_x1
1449
    PORT MAP (
1450
    i0 => sel,
1451
    i1 => auxsc230,
1452
    i2 => auxsc231,
1453
    nq => auxsc228,
1454
    vdd => vdd,
1455
    vss => vss);
1456
  auxsc69 : inv_x1
1457
    PORT MAP (
1458
    i => i8(9),
1459
    nq => auxsc69,
1460
    vdd => vdd,
1461
    vss => vss);
1462
  reg2_0 : sff1_x4
1463
    PORT MAP (
1464
    ck => en,
1465
    i => auxsc228,
1466
    q => auxreg33,
1467
    vdd => vdd,
1468
    vss => vss);
1469
  reg2_5 : sff1_x4
1470
    PORT MAP (
1471
    ck => en,
1472
    i => auxsc263,
1473
    q => auxreg38,
1474
    vdd => vdd,
1475
    vss => vss);
1476
  auxsc263 : nao22_x1
1477
    PORT MAP (
1478
    i0 => sel,
1479
    i1 => auxsc265,
1480
    i2 => auxsc266,
1481
    nq => auxsc263,
1482
    vdd => vdd,
1483
    vss => vss);
1484
  o1_10 : a2_x2
1485
    PORT MAP (
1486
    i0 => auxsc449,
1487
    i1 => auxreg59,
1488
    q => o1(10),
1489
    vdd => vdd,
1490
    vss => vss);
1491
  auxsc266 : na2_x1
1492
    PORT MAP (
1493
    i0 => sel,
1494
    i1 => i2(5),
1495
    nq => auxsc266,
1496
    vdd => vdd,
1497
    vss => vss);
1498
  auxsc265 : inv_x1
1499
    PORT MAP (
1500
    i => i6(5),
1501
    nq => auxsc265,
1502
    vdd => vdd,
1503
    vss => vss);
1504
  reg1_10 : sff1_x4
1505
    PORT MAP (
1506
    ck => en,
1507
    i => auxsc410,
1508
    q => auxreg59,
1509
    vdd => vdd,
1510
    vss => vss);
1511
  auxsc412 : inv_x1
1512
    PORT MAP (
1513
    i => i5(10),
1514
    nq => auxsc412,
1515
    vdd => vdd,
1516
    vss => vss);
1517
  auxsc410 : nao22_x1
1518
    PORT MAP (
1519
    i0 => sel,
1520
    i1 => auxsc412,
1521
    i2 => auxsc413,
1522
    nq => auxsc410,
1523
    vdd => vdd,
1524
    vss => vss);
1525
  auxsc413 : na2_x1
1526
    PORT MAP (
1527
    i0 => sel,
1528
    i1 => i1(10),
1529
    nq => auxsc413,
1530
    vdd => vdd,
1531
    vss => vss);
1532
  o3_3 : a2_x2
1533
    PORT MAP (
1534
    i0 => auxsc449,
1535
    i1 => auxreg20,
1536
    q => o3(3),
1537
    vdd => vdd,
1538
    vss => vss);
1539
  feed69 : rowend_x0
1540
    PORT MAP (
1541
    vdd => vdd,
1542
    vss => vss);
1543
  feed70 : rowend_x0
1544
    PORT MAP (
1545
    vdd => vdd,
1546
    vss => vss);
1547
  feed71 : rowend_x0
1548
    PORT MAP (
1549
    vdd => vdd,
1550
    vss => vss);
1551
  feed72 : rowend_x0
1552
    PORT MAP (
1553
    vdd => vdd,
1554
    vss => vss);
1555
  feed73 : rowend_x0
1556
    PORT MAP (
1557
    vdd => vdd,
1558
    vss => vss);
1559
  feed74 : rowend_x0
1560
    PORT MAP (
1561
    vdd => vdd,
1562
    vss => vss);
1563
  feed75 : rowend_x0
1564
    PORT MAP (
1565
    vdd => vdd,
1566
    vss => vss);
1567
  feed76 : rowend_x0
1568
    PORT MAP (
1569
    vdd => vdd,
1570
    vss => vss);
1571
  feed77 : rowend_x0
1572
    PORT MAP (
1573
    vdd => vdd,
1574
    vss => vss);
1575
  feed78 : rowend_x0
1576
    PORT MAP (
1577
    vdd => vdd,
1578
    vss => vss);
1579
  feed79 : rowend_x0
1580
    PORT MAP (
1581
    vdd => vdd,
1582
    vss => vss);
1583
  feed80 : rowend_x0
1584
    PORT MAP (
1585
    vdd => vdd,
1586
    vss => vss);
1587
  feed81 : rowend_x0
1588
    PORT MAP (
1589
    vdd => vdd,
1590
    vss => vss);
1591
  feed82 : rowend_x0
1592
    PORT MAP (
1593
    vdd => vdd,
1594
    vss => vss);
1595
  feed83 : rowend_x0
1596
    PORT MAP (
1597
    vdd => vdd,
1598
    vss => vss);
1599
  feed84 : rowend_x0
1600
    PORT MAP (
1601
    vdd => vdd,
1602
    vss => vss);
1603
  reg3_3 : sff1_x4
1604
    PORT MAP (
1605
    ck => en,
1606
    i => auxsc137,
1607
    q => auxreg20,
1608
    vdd => vdd,
1609
    vss => vss);
1610
  o1_8 : a2_x2
1611
    PORT MAP (
1612
    i0 => auxsc449,
1613
    i1 => auxreg57,
1614
    q => o1(8),
1615
    vdd => vdd,
1616
    vss => vss);
1617
  reg1_8 : sff1_x4
1618
    PORT MAP (
1619
    ck => en,
1620
    i => auxsc396,
1621
    q => auxreg57,
1622
    vdd => vdd,
1623
    vss => vss);
1624
  o4_2 : a2_x2
1625
    PORT MAP (
1626
    i0 => auxsc449,
1627
    i1 => auxreg3,
1628
    q => o4(2),
1629
    vdd => vdd,
1630
    vss => vss);
1631
  reg4_2 : sff1_x4
1632
    PORT MAP (
1633
    ck => en,
1634
    i => auxsc18,
1635
    q => auxreg3,
1636
    vdd => vdd,
1637
    vss => vss);
1638
  reg2_7 : sff1_x4
1639
    PORT MAP (
1640
    ck => en,
1641
    i => auxsc277,
1642
    q => auxreg40,
1643
    vdd => vdd,
1644
    vss => vss);
1645
  o2_7 : a2_x2
1646
    PORT MAP (
1647
    i0 => auxsc449,
1648
    i1 => auxreg40,
1649
    q => o2(7),
1650
    vdd => vdd,
1651
    vss => vss);
1652
  auxsc277 : nao22_x1
1653
    PORT MAP (
1654
    i0 => sel,
1655
    i1 => auxsc279,
1656
    i2 => auxsc280,
1657
    nq => auxsc277,
1658
    vdd => vdd,
1659
    vss => vss);
1660
  auxsc279 : inv_x1
1661
    PORT MAP (
1662
    i => i6(7),
1663
    nq => auxsc279,
1664
    vdd => vdd,
1665
    vss => vss);
1666
  auxsc280 : na2_x1
1667
    PORT MAP (
1668
    i0 => sel,
1669
    i1 => i2(7),
1670
    nq => auxsc280,
1671
    vdd => vdd,
1672
    vss => vss);
1673
  auxsc398 : inv_x1
1674
    PORT MAP (
1675
    i => i5(8),
1676
    nq => auxsc398,
1677
    vdd => vdd,
1678
    vss => vss);
1679
  auxsc396 : nao22_x1
1680
    PORT MAP (
1681
    i0 => sel,
1682
    i1 => auxsc398,
1683
    i2 => auxsc399,
1684
    nq => auxsc396,
1685
    vdd => vdd,
1686
    vss => vss);
1687
  auxsc399 : na2_x1
1688
    PORT MAP (
1689
    i0 => sel,
1690
    i1 => i1(8),
1691
    nq => auxsc399,
1692
    vdd => vdd,
1693
    vss => vss);
1694
  auxsc18 : nao22_x1
1695
    PORT MAP (
1696
    i0 => sel,
1697
    i1 => auxsc20,
1698
    i2 => auxsc21,
1699
    nq => auxsc18,
1700
    vdd => vdd,
1701
    vss => vss);
1702
  auxsc21 : na2_x1
1703
    PORT MAP (
1704
    i0 => sel,
1705
    i1 => i4(2),
1706
    nq => auxsc21,
1707
    vdd => vdd,
1708
    vss => vss);
1709
  auxsc74 : nao22_x1
1710
    PORT MAP (
1711
    i0 => sel,
1712
    i1 => auxsc76,
1713
    i2 => auxsc77,
1714
    nq => auxsc74,
1715
    vdd => vdd,
1716
    vss => vss);
1717
  auxsc20 : inv_x1
1718
    PORT MAP (
1719
    i => i8(2),
1720
    nq => auxsc20,
1721
    vdd => vdd,
1722
    vss => vss);
1723
  auxsc286 : inv_x1
1724
    PORT MAP (
1725
    i => i6(8),
1726
    nq => auxsc286,
1727
    vdd => vdd,
1728
    vss => vss);
1729
  auxsc77 : na2_x1
1730
    PORT MAP (
1731
    i0 => sel,
1732
    i1 => i4(10),
1733
    nq => auxsc77,
1734
    vdd => vdd,
1735
    vss => vss);
1736
  auxsc76 : inv_x1
1737
    PORT MAP (
1738
    i => i8(10),
1739
    nq => auxsc76,
1740
    vdd => vdd,
1741
    vss => vss);
1742
  auxsc363 : inv_x1
1743
    PORT MAP (
1744
    i => i5(3),
1745
    nq => auxsc363,
1746
    vdd => vdd,
1747
    vss => vss);
1748
  o4_10 : a2_x2
1749
    PORT MAP (
1750
    i0 => auxsc449,
1751
    i1 => auxreg11,
1752
    q => o4(10),
1753
    vdd => vdd,
1754
    vss => vss);
1755
  row5 : rowend_x0
1756
    PORT MAP (
1757
    vdd => vdd,
1758
    vss => vss);
1759
  row6 : rowend_x0
1760
    PORT MAP (
1761
    vdd => vdd,
1762
    vss => vss);
1763
  row7 : rowend_x0
1764
    PORT MAP (
1765
    vdd => vdd,
1766
    vss => vss);
1767
  auxsc161 : na2_x1
1768
    PORT MAP (
1769
    i0 => sel,
1770
    i1 => i3(6),
1771
    nq => auxsc161,
1772
    vdd => vdd,
1773
    vss => vss);
1774
  auxsc158 : nao22_x1
1775
    PORT MAP (
1776
    i0 => sel,
1777
    i1 => auxsc160,
1778
    i2 => auxsc161,
1779
    nq => auxsc158,
1780
    vdd => vdd,
1781
    vss => vss);
1782
  reg2_4 : sff1_x4
1783
    PORT MAP (
1784
    ck => en,
1785
    i => auxsc256,
1786
    q => auxreg37,
1787
    vdd => vdd,
1788
    vss => vss);
1789
  reg3_6 : sff1_x4
1790
    PORT MAP (
1791
    ck => en,
1792
    i => auxsc158,
1793
    q => auxreg23,
1794
    vdd => vdd,
1795
    vss => vss);
1796
  auxsc67 : nao22_x1
1797
    PORT MAP (
1798
    i0 => sel,
1799
    i1 => auxsc69,
1800
    i2 => auxsc70,
1801
    nq => auxsc67,
1802
    vdd => vdd,
1803
    vss => vss);
1804
  auxsc70 : na2_x1
1805
    PORT MAP (
1806
    i0 => sel,
1807
    i1 => i4(9),
1808
    nq => auxsc70,
1809
    vdd => vdd,
1810
    vss => vss);
1811
  o3_6 : a2_x2
1812
    PORT MAP (
1813
    i0 => auxsc449,
1814
    i1 => auxreg23,
1815
    q => o3(6),
1816
    vdd => vdd,
1817
    vss => vss);
1818
  reg3_14 : sff1_x4
1819
    PORT MAP (
1820
    ck => en,
1821
    i => auxsc214,
1822
    q => auxreg31,
1823
    vdd => vdd,
1824
    vss => vss);
1825
  o3_14 : a2_x2
1826
    PORT MAP (
1827
    i0 => auxsc449,
1828
    i1 => auxreg31,
1829
    q => o3(14),
1830
    vdd => vdd,
1831
    vss => vss);
1832
  reg4_9 : sff1_x4
1833
    PORT MAP (
1834
    ck => en,
1835
    i => auxsc67,
1836
    q => auxreg10,
1837
    vdd => vdd,
1838
    vss => vss);
1839
  o4_9 : a2_x2
1840
    PORT MAP (
1841
    i0 => auxsc449,
1842
    i1 => auxreg10,
1843
    q => o4(9),
1844
    vdd => vdd,
1845
    vss => vss);
1846
  o4_14 : a2_x2
1847
    PORT MAP (
1848
    i0 => auxsc449,
1849
    i1 => auxreg15,
1850
    q => o4(14),
1851
    vdd => vdd,
1852
    vss => vss);
1853
  reg2_10 : sff1_x4
1854
    PORT MAP (
1855
    ck => en,
1856
    i => auxsc298,
1857
    q => auxreg43,
1858
    vdd => vdd,
1859
    vss => vss);
1860
  auxsc301 : na2_x1
1861
    PORT MAP (
1862
    i0 => sel,
1863
    i1 => i2(10),
1864
    nq => auxsc301,
1865
    vdd => vdd,
1866
    vss => vss);
1867
  o2_10 : a2_x2
1868
    PORT MAP (
1869
    i0 => auxsc449,
1870
    i1 => auxreg43,
1871
    q => o2(10),
1872
    vdd => vdd,
1873
    vss => vss);
1874
  auxsc298 : nao22_x1
1875
    PORT MAP (
1876
    i0 => sel,
1877
    i1 => auxsc300,
1878
    i2 => auxsc301,
1879
    nq => auxsc298,
1880
    vdd => vdd,
1881
    vss => vss);
1882
  feed85 : rowend_x0
1883
    PORT MAP (
1884
    vdd => vdd,
1885
    vss => vss);
1886
  feed86 : rowend_x0
1887
    PORT MAP (
1888
    vdd => vdd,
1889
    vss => vss);
1890
  feed87 : rowend_x0
1891
    PORT MAP (
1892
    vdd => vdd,
1893
    vss => vss);
1894
  feed88 : rowend_x0
1895
    PORT MAP (
1896
    vdd => vdd,
1897
    vss => vss);
1898
  feed89 : rowend_x0
1899
    PORT MAP (
1900
    vdd => vdd,
1901
    vss => vss);
1902
  feed90 : rowend_x0
1903
    PORT MAP (
1904
    vdd => vdd,
1905
    vss => vss);
1906
  feed91 : rowend_x0
1907
    PORT MAP (
1908
    vdd => vdd,
1909
    vss => vss);
1910
  feed92 : rowend_x0
1911
    PORT MAP (
1912
    vdd => vdd,
1913
    vss => vss);
1914
  feed93 : rowend_x0
1915
    PORT MAP (
1916
    vdd => vdd,
1917
    vss => vss);
1918
  feed94 : rowend_x0
1919
    PORT MAP (
1920
    vdd => vdd,
1921
    vss => vss);
1922
  feed95 : rowend_x0
1923
    PORT MAP (
1924
    vdd => vdd,
1925
    vss => vss);
1926
  feed96 : rowend_x0
1927
    PORT MAP (
1928
    vdd => vdd,
1929
    vss => vss);
1930
  feed97 : rowend_x0
1931
    PORT MAP (
1932
    vdd => vdd,
1933
    vss => vss);
1934
  feed98 : rowend_x0
1935
    PORT MAP (
1936
    vdd => vdd,
1937
    vss => vss);
1938
  feed99 : rowend_x0
1939
    PORT MAP (
1940
    vdd => vdd,
1941
    vss => vss);
1942
  feed100 : rowend_x0
1943
    PORT MAP (
1944
    vdd => vdd,
1945
    vss => vss);
1946
  reg2_2 : sff1_x4
1947
    PORT MAP (
1948
    ck => en,
1949
    i => auxsc242,
1950
    q => auxreg35,
1951
    vdd => vdd,
1952
    vss => vss);
1953
  auxsc140 : na2_x1
1954
    PORT MAP (
1955
    i0 => sel,
1956
    i1 => i3(3),
1957
    nq => auxsc140,
1958
    vdd => vdd,
1959
    vss => vss);
1960
  o2_2 : a2_x2
1961
    PORT MAP (
1962
    i0 => auxsc449,
1963
    i1 => auxreg35,
1964
    q => o2(2),
1965
    vdd => vdd,
1966
    vss => vss);
1967
  auxsc137 : nao22_x1
1968
    PORT MAP (
1969
    i0 => sel,
1970
    i1 => auxsc139,
1971
    i2 => auxsc140,
1972
    nq => auxsc137,
1973
    vdd => vdd,
1974
    vss => vss);
1975
  auxsc139 : inv_x1
1976
    PORT MAP (
1977
    i => i7(3),
1978
    nq => auxsc139,
1979
    vdd => vdd,
1980
    vss => vss);
1981
  reg2_9 : sff1_x4
1982
    PORT MAP (
1983
    ck => en,
1984
    i => auxsc291,
1985
    q => auxreg42,
1986
    vdd => vdd,
1987
    vss => vss);
1988
  o2_9 : a2_x2
1989
    PORT MAP (
1990
    i0 => auxsc449,
1991
    i1 => auxreg42,
1992
    q => o2(9),
1993
    vdd => vdd,
1994
    vss => vss);
1995
  auxsc245 : na2_x1
1996
    PORT MAP (
1997
    i0 => sel,
1998
    i1 => i2(2),
1999
    nq => auxsc245,
2000
    vdd => vdd,
2001
    vss => vss);
2002
  o1_7 : a2_x2
2003
    PORT MAP (
2004
    i0 => auxsc449,
2005
    i1 => auxreg56,
2006
    q => o1(7),
2007
    vdd => vdd,
2008
    vss => vss);
2009
  auxsc242 : nao22_x1
2010
    PORT MAP (
2011
    i0 => sel,
2012
    i1 => auxsc244,
2013
    i2 => auxsc245,
2014
    nq => auxsc242,
2015
    vdd => vdd,
2016
    vss => vss);
2017
  auxsc291 : nao22_x1
2018
    PORT MAP (
2019
    i0 => sel,
2020
    i1 => auxsc293,
2021
    i2 => auxsc294,
2022
    nq => auxsc291,
2023
    vdd => vdd,
2024
    vss => vss);
2025
  auxsc293 : inv_x1
2026
    PORT MAP (
2027
    i => i6(9),
2028
    nq => auxsc293,
2029
    vdd => vdd,
2030
    vss => vss);
2031
  auxsc294 : na2_x1
2032
    PORT MAP (
2033
    i0 => sel,
2034
    i1 => i2(9),
2035
    nq => auxsc294,
2036
    vdd => vdd,
2037
    vss => vss);
2038
  reg2_8 : sff1_x4
2039
    PORT MAP (
2040
    ck => en,
2041
    i => auxsc284,
2042
    q => auxreg41,
2043
    vdd => vdd,
2044
    vss => vss);
2045
  o2_8 : a2_x2
2046
    PORT MAP (
2047
    i0 => auxsc449,
2048
    i1 => auxreg41,
2049
    q => o2(8),
2050
    vdd => vdd,
2051
    vss => vss);
2052
  auxsc368 : nao22_x1
2053
    PORT MAP (
2054
    i0 => sel,
2055
    i1 => auxsc370,
2056
    i2 => auxsc371,
2057
    nq => auxsc368,
2058
    vdd => vdd,
2059
    vss => vss);
2060
  auxsc371 : na2_x1
2061
    PORT MAP (
2062
    i0 => sel,
2063
    i1 => i1(4),
2064
    nq => auxsc371,
2065
    vdd => vdd,
2066
    vss => vss);
2067
  auxsc370 : inv_x1
2068
    PORT MAP (
2069
    i => i5(4),
2070
    nq => auxsc370,
2071
    vdd => vdd,
2072
    vss => vss);
2073
  auxsc284 : nao22_x1
2074
    PORT MAP (
2075
    i0 => sel,
2076
    i1 => auxsc286,
2077
    i2 => auxsc287,
2078
    nq => auxsc284,
2079
    vdd => vdd,
2080
    vss => vss);
2081
  auxsc98 : na2_x1
2082
    PORT MAP (
2083
    i0 => sel,
2084
    i1 => i4(13),
2085
    nq => auxsc98,
2086
    vdd => vdd,
2087
    vss => vss);
2088
  o4_0 : a2_x2
2089
    PORT MAP (
2090
    i0 => auxsc449,
2091
    i1 => auxreg1,
2092
    q => o4(0),
2093
    vdd => vdd,
2094
    vss => vss);
2095
  auxsc287 : na2_x1
2096
    PORT MAP (
2097
    i0 => sel,
2098
    i1 => i2(8),
2099
    nq => auxsc287,
2100
    vdd => vdd,
2101
    vss => vss);
2102
  row8 : rowend_x0
2103
    PORT MAP (
2104
    vdd => vdd,
2105
    vss => vss);
2106
  row9 : rowend_x0
2107
    PORT MAP (
2108
    vdd => vdd,
2109
    vss => vss);
2110
  row10 : rowend_x0
2111
    PORT MAP (
2112
    vdd => vdd,
2113
    vss => vss);
2114
  row11 : rowend_x0
2115
    PORT MAP (
2116
    vdd => vdd,
2117
    vss => vss);
2118
  auxsc188 : inv_x1
2119
    PORT MAP (
2120
    i => i7(10),
2121
    nq => auxsc188,
2122
    vdd => vdd,
2123
    vss => vss);
2124
  auxsc259 : na2_x1
2125
    PORT MAP (
2126
    i0 => sel,
2127
    i1 => i2(4),
2128
    nq => auxsc259,
2129
    vdd => vdd,
2130
    vss => vss);
2131
  auxsc258 : inv_x1
2132
    PORT MAP (
2133
    i => i6(4),
2134
    nq => auxsc258,
2135
    vdd => vdd,
2136
    vss => vss);
2137
  auxsc256 : nao22_x1
2138
    PORT MAP (
2139
    i0 => sel,
2140
    i1 => auxsc258,
2141
    i2 => auxsc259,
2142
    nq => auxsc256,
2143
    vdd => vdd,
2144
    vss => vss);
2145
  auxsc196 : na2_x1
2146
    PORT MAP (
2147
    i0 => sel,
2148
    i1 => i3(11),
2149
    nq => auxsc196,
2150
    vdd => vdd,
2151
    vss => vss);
2152
  auxsc193 : nao22_x1
2153
    PORT MAP (
2154
    i0 => sel,
2155
    i1 => auxsc195,
2156
    i2 => auxsc196,
2157
    nq => auxsc193,
2158
    vdd => vdd,
2159
    vss => vss);
2160
  auxsc195 : inv_x1
2161
    PORT MAP (
2162
    i => i7(11),
2163
    nq => auxsc195,
2164
    vdd => vdd,
2165
    vss => vss);
2166
  auxsc214 : nao22_x1
2167
    PORT MAP (
2168
    i0 => sel,
2169
    i1 => auxsc216,
2170
    i2 => auxsc217,
2171
    nq => auxsc214,
2172
    vdd => vdd,
2173
    vss => vss);
2174
  auxsc217 : na2_x1
2175
    PORT MAP (
2176
    i0 => sel,
2177
    i1 => i3(14),
2178
    nq => auxsc217,
2179
    vdd => vdd,
2180
    vss => vss);
2181
  o3_11 : a2_x2
2182
    PORT MAP (
2183
    i0 => auxsc449,
2184
    i1 => auxreg28,
2185
    q => o3(11),
2186
    vdd => vdd,
2187
    vss => vss);
2188
  auxsc216 : inv_x1
2189
    PORT MAP (
2190
    i => i7(14),
2191
    nq => auxsc216,
2192
    vdd => vdd,
2193
    vss => vss);
2194
  reg3_11 : sff1_x4
2195
    PORT MAP (
2196
    ck => en,
2197
    i => auxsc193,
2198
    q => auxreg28,
2199
    vdd => vdd,
2200
    vss => vss);
2201
  auxsc249 : nao22_x1
2202
    PORT MAP (
2203
    i0 => sel,
2204
    i1 => auxsc251,
2205
    i2 => auxsc252,
2206
    nq => auxsc249,
2207
    vdd => vdd,
2208
    vss => vss);
2209
  auxsc252 : na2_x1
2210
    PORT MAP (
2211
    i0 => sel,
2212
    i1 => i2(3),
2213
    nq => auxsc252,
2214
    vdd => vdd,
2215
    vss => vss);
2216
  reg2_3 : sff1_x4
2217
    PORT MAP (
2218
    ck => en,
2219
    i => auxsc249,
2220
    q => auxreg36,
2221
    vdd => vdd,
2222
    vss => vss);
2223
  o2_3 : a2_x2
2224
    PORT MAP (
2225
    i0 => auxsc449,
2226
    i1 => auxreg36,
2227
    q => o2(3),
2228
    vdd => vdd,
2229
    vss => vss);
2230
  auxsc105 : na2_x1
2231
    PORT MAP (
2232
    i0 => sel,
2233
    i1 => i4(14),
2234
    nq => auxsc105,
2235
    vdd => vdd,
2236
    vss => vss);
2237
  auxsc102 : nao22_x1
2238
    PORT MAP (
2239
    i0 => sel,
2240
    i1 => auxsc104,
2241
    i2 => auxsc105,
2242
    nq => auxsc102,
2243
    vdd => vdd,
2244
    vss => vss);
2245
  auxsc104 : inv_x1
2246
    PORT MAP (
2247
    i => i8(14),
2248
    nq => auxsc104,
2249
    vdd => vdd,
2250
    vss => vss);
2251
  reg4_14 : sff1_x4
2252
    PORT MAP (
2253
    ck => en,
2254
    i => auxsc102,
2255
    q => auxreg15,
2256
    vdd => vdd,
2257
    vss => vss);
2258
  o3_4 : a2_x2
2259
    PORT MAP (
2260
    i0 => auxsc449,
2261
    i1 => auxreg21,
2262
    q => o3(4),
2263
    vdd => vdd,
2264
    vss => vss);
2265
  reg1_7 : sff1_x4
2266
    PORT MAP (
2267
    ck => en,
2268
    i => auxsc389,
2269
    q => auxreg56,
2270
    vdd => vdd,
2271
    vss => vss);
2272
  feed101 : rowend_x0
2273
    PORT MAP (
2274
    vdd => vdd,
2275
    vss => vss);
2276
  feed102 : rowend_x0
2277
    PORT MAP (
2278
    vdd => vdd,
2279
    vss => vss);
2280
  feed103 : rowend_x0
2281
    PORT MAP (
2282
    vdd => vdd,
2283
    vss => vss);
2284
  feed104 : rowend_x0
2285
    PORT MAP (
2286
    vdd => vdd,
2287
    vss => vss);
2288
  feed105 : rowend_x0
2289
    PORT MAP (
2290
    vdd => vdd,
2291
    vss => vss);
2292
  feed106 : rowend_x0
2293
    PORT MAP (
2294
    vdd => vdd,
2295
    vss => vss);
2296
  feed107 : rowend_x0
2297
    PORT MAP (
2298
    vdd => vdd,
2299
    vss => vss);
2300
  feed108 : rowend_x0
2301
    PORT MAP (
2302
    vdd => vdd,
2303
    vss => vss);
2304
  feed109 : rowend_x0
2305
    PORT MAP (
2306
    vdd => vdd,
2307
    vss => vss);
2308
  feed110 : rowend_x0
2309
    PORT MAP (
2310
    vdd => vdd,
2311
    vss => vss);
2312
  feed111 : rowend_x0
2313
    PORT MAP (
2314
    vdd => vdd,
2315
    vss => vss);
2316
  feed112 : rowend_x0
2317
    PORT MAP (
2318
    vdd => vdd,
2319
    vss => vss);
2320
  feed113 : rowend_x0
2321
    PORT MAP (
2322
    vdd => vdd,
2323
    vss => vss);
2324
  feed114 : rowend_x0
2325
    PORT MAP (
2326
    vdd => vdd,
2327
    vss => vss);
2328
  feed115 : rowend_x0
2329
    PORT MAP (
2330
    vdd => vdd,
2331
    vss => vss);
2332
  feed116 : rowend_x0
2333
    PORT MAP (
2334
    vdd => vdd,
2335
    vss => vss);
2336
  auxsc300 : inv_x1
2337
    PORT MAP (
2338
    i => i6(10),
2339
    nq => auxsc300,
2340
    vdd => vdd,
2341
    vss => vss);
2342
  auxsc389 : nao22_x1
2343
    PORT MAP (
2344
    i0 => sel,
2345
    i1 => auxsc391,
2346
    i2 => auxsc392,
2347
    nq => auxsc389,
2348
    vdd => vdd,
2349
    vss => vss);
2350
  auxsc392 : na2_x1
2351
    PORT MAP (
2352
    i0 => sel,
2353
    i1 => i1(7),
2354
    nq => auxsc392,
2355
    vdd => vdd,
2356
    vss => vss);
2357
  auxsc391 : inv_x1
2358
    PORT MAP (
2359
    i => i5(7),
2360
    nq => auxsc391,
2361
    vdd => vdd,
2362
    vss => vss);
2363
  reg4_15 : sff1_x4
2364
    PORT MAP (
2365
    ck => en,
2366
    i => auxsc109,
2367
    q => auxreg16,
2368
    vdd => vdd,
2369
    vss => vss);
2370
  o4_15 : a2_x2
2371
    PORT MAP (
2372
    i0 => auxsc449,
2373
    i1 => auxreg16,
2374
    q => o4(15),
2375
    vdd => vdd,
2376
    vss => vss);
2377
  reg4_13 : sff1_x4
2378
    PORT MAP (
2379
    ck => en,
2380
    i => auxsc95,
2381
    q => auxreg14,
2382
    vdd => vdd,
2383
    vss => vss);
2384
  o4_13 : a2_x2
2385
    PORT MAP (
2386
    i0 => auxsc449,
2387
    i1 => auxreg14,
2388
    q => o4(13),
2389
    vdd => vdd,
2390
    vss => vss);
2391
  reg3_12 : sff1_x4
2392
    PORT MAP (
2393
    ck => en,
2394
    i => auxsc200,
2395
    q => auxreg29,
2396
    vdd => vdd,
2397
    vss => vss);
2398
  o3_12 : a2_x2
2399
    PORT MAP (
2400
    i0 => auxsc449,
2401
    i1 => auxreg29,
2402
    q => o3(12),
2403
    vdd => vdd,
2404
    vss => vss);
2405
  auxsc244 : inv_x1
2406
    PORT MAP (
2407
    i => i6(2),
2408
    nq => auxsc244,
2409
    vdd => vdd,
2410
    vss => vss);
2411
  o1_4 : a2_x2
2412
    PORT MAP (
2413
    i0 => auxsc449,
2414
    i1 => auxreg53,
2415
    q => o1(4),
2416
    vdd => vdd,
2417
    vss => vss);
2418
  auxsc202 : inv_x1
2419
    PORT MAP (
2420
    i => i7(12),
2421
    nq => auxsc202,
2422
    vdd => vdd,
2423
    vss => vss);
2424
  auxsc200 : nao22_x1
2425
    PORT MAP (
2426
    i0 => sel,
2427
    i1 => auxsc202,
2428
    i2 => auxsc203,
2429
    nq => auxsc200,
2430
    vdd => vdd,
2431
    vss => vss);
2432
  reg4_0 : sff1_x4
2433
    PORT MAP (
2434
    ck => en,
2435
    i => auxsc4,
2436
    q => auxreg1,
2437
    vdd => vdd,
2438
    vss => vss);
2439
  auxsc95 : nao22_x1
2440
    PORT MAP (
2441
    i0 => sel,
2442
    i1 => auxsc97,
2443
    i2 => auxsc98,
2444
    nq => auxsc95,
2445
    vdd => vdd,
2446
    vss => vss);
2447
  auxsc203 : na2_x1
2448
    PORT MAP (
2449
    i0 => sel,
2450
    i1 => i3(12),
2451
    nq => auxsc203,
2452
    vdd => vdd,
2453
    vss => vss);
2454
  auxsc97 : inv_x1
2455
    PORT MAP (
2456
    i => i8(13),
2457
    nq => auxsc97,
2458
    vdd => vdd,
2459
    vss => vss);
2460
  auxsc447 : inv_x1
2461
    PORT MAP (
2462
    i => i5(15),
2463
    nq => auxsc447,
2464
    vdd => vdd,
2465
    vss => vss);
2466
  row12 : rowend_x0
2467
    PORT MAP (
2468
    vdd => vdd,
2469
    vss => vss);
2470
  row13 : rowend_x0
2471
    PORT MAP (
2472
    vdd => vdd,
2473
    vss => vss);
2474
  row14 : rowend_x0
2475
    PORT MAP (
2476
    vdd => vdd,
2477
    vss => vss);
2478
  auxsc186 : nao22_x1
2479
    PORT MAP (
2480
    i0 => sel,
2481
    i1 => auxsc188,
2482
    i2 => auxsc189,
2483
    nq => auxsc186,
2484
    vdd => vdd,
2485
    vss => vss);
2486
  auxsc189 : na2_x1
2487
    PORT MAP (
2488
    i0 => sel,
2489
    i1 => i3(10),
2490
    nq => auxsc189,
2491
    vdd => vdd,
2492
    vss => vss);
2493
  reg3_10 : sff1_x4
2494
    PORT MAP (
2495
    ck => en,
2496
    i => auxsc186,
2497
    q => auxreg27,
2498
    vdd => vdd,
2499
    vss => vss);
2500
  reg2_1 : sff1_x4
2501
    PORT MAP (
2502
    ck => en,
2503
    i => auxsc235,
2504
    q => auxreg34,
2505
    vdd => vdd,
2506
    vss => vss);
2507
  auxsc237 : inv_x1
2508
    PORT MAP (
2509
    i => i6(1),
2510
    nq => auxsc237,
2511
    vdd => vdd,
2512
    vss => vss);
2513
  auxsc433 : inv_x1
2514
    PORT MAP (
2515
    i => i5(13),
2516
    nq => auxsc433,
2517
    vdd => vdd,
2518
    vss => vss);
2519
  o2_1 : a2_x2
2520
    PORT MAP (
2521
    i0 => auxsc449,
2522
    i1 => auxreg34,
2523
    q => o2(1),
2524
    vdd => vdd,
2525
    vss => vss);
2526
  auxsc251 : inv_x1
2527
    PORT MAP (
2528
    i => i6(3),
2529
    nq => auxsc251,
2530
    vdd => vdd,
2531
    vss => vss);
2532
  reg3_15 : sff1_x4
2533
    PORT MAP (
2534
    ck => en,
2535
    i => auxsc221,
2536
    q => auxreg32,
2537
    vdd => vdd,
2538
    vss => vss);
2539
  o3_15 : a2_x2
2540
    PORT MAP (
2541
    i0 => auxsc449,
2542
    i1 => auxreg32,
2543
    q => o3(15),
2544
    vdd => vdd,
2545
    vss => vss);
2546
  auxsc221 : nao22_x1
2547
    PORT MAP (
2548
    i0 => sel,
2549
    i1 => auxsc223,
2550
    i2 => auxsc224,
2551
    nq => auxsc221,
2552
    vdd => vdd,
2553
    vss => vss);
2554
  auxsc224 : na2_x1
2555
    PORT MAP (
2556
    i0 => sel,
2557
    i1 => i3(15),
2558
    nq => auxsc224,
2559
    vdd => vdd,
2560
    vss => vss);
2561
  auxsc147 : na2_x1
2562
    PORT MAP (
2563
    i0 => sel,
2564
    i1 => i3(4),
2565
    nq => auxsc147,
2566
    vdd => vdd,
2567
    vss => vss);
2568
  auxsc223 : inv_x1
2569
    PORT MAP (
2570
    i => i7(15),
2571
    nq => auxsc223,
2572
    vdd => vdd,
2573
    vss => vss);
2574
  auxsc209 : inv_x1
2575
    PORT MAP (
2576
    i => i7(13),
2577
    nq => auxsc209,
2578
    vdd => vdd,
2579
    vss => vss);
2580
  auxsc146 : inv_x1
2581
    PORT MAP (
2582
    i => i7(4),
2583
    nq => auxsc146,
2584
    vdd => vdd,
2585
    vss => vss);
2586
  auxsc144 : nao22_x1
2587
    PORT MAP (
2588
    i0 => sel,
2589
    i1 => auxsc146,
2590
    i2 => auxsc147,
2591
    nq => auxsc144,
2592
    vdd => vdd,
2593
    vss => vss);
2594
  reg3_4 : sff1_x4
2595
    PORT MAP (
2596
    ck => en,
2597
    i => auxsc144,
2598
    q => auxreg21,
2599
    vdd => vdd,
2600
    vss => vss);
2601
  auxsc207 : nao22_x1
2602
    PORT MAP (
2603
    i0 => sel,
2604
    i1 => auxsc209,
2605
    i2 => auxsc210,
2606
    nq => auxsc207,
2607
    vdd => vdd,
2608
    vss => vss);
2609
  reg3_13 : sff1_x4
2610
    PORT MAP (
2611
    ck => en,
2612
    i => auxsc207,
2613
    q => auxreg30,
2614
    vdd => vdd,
2615
    vss => vss);
2616
  feed117 : rowend_x0
2617
    PORT MAP (
2618
    vdd => vdd,
2619
    vss => vss);
2620
  feed118 : rowend_x0
2621
    PORT MAP (
2622
    vdd => vdd,
2623
    vss => vss);
2624
  feed119 : rowend_x0
2625
    PORT MAP (
2626
    vdd => vdd,
2627
    vss => vss);
2628
  feed120 : rowend_x0
2629
    PORT MAP (
2630
    vdd => vdd,
2631
    vss => vss);
2632
  feed121 : rowend_x0
2633
    PORT MAP (
2634
    vdd => vdd,
2635
    vss => vss);
2636
  feed122 : rowend_x0
2637
    PORT MAP (
2638
    vdd => vdd,
2639
    vss => vss);
2640
  feed123 : rowend_x0
2641
    PORT MAP (
2642
    vdd => vdd,
2643
    vss => vss);
2644
  feed124 : rowend_x0
2645
    PORT MAP (
2646
    vdd => vdd,
2647
    vss => vss);
2648
  feed125 : rowend_x0
2649
    PORT MAP (
2650
    vdd => vdd,
2651
    vss => vss);
2652
  feed126 : rowend_x0
2653
    PORT MAP (
2654
    vdd => vdd,
2655
    vss => vss);
2656
  feed127 : rowend_x0
2657
    PORT MAP (
2658
    vdd => vdd,
2659
    vss => vss);
2660
  feed128 : rowend_x0
2661
    PORT MAP (
2662
    vdd => vdd,
2663
    vss => vss);
2664
  feed129 : rowend_x0
2665
    PORT MAP (
2666
    vdd => vdd,
2667
    vss => vss);
2668
  feed130 : rowend_x0
2669
    PORT MAP (
2670
    vdd => vdd,
2671
    vss => vss);
2672
  feed131 : rowend_x0
2673
    PORT MAP (
2674
    vdd => vdd,
2675
    vss => vss);
2676
  feed132 : rowend_x0
2677
    PORT MAP (
2678
    vdd => vdd,
2679
    vss => vss);
2680
  reg1_6 : sff1_x4
2681
    PORT MAP (
2682
    ck => en,
2683
    i => auxsc382,
2684
    q => auxreg55,
2685
    vdd => vdd,
2686
    vss => vss);
2687
  o1_6 : a2_x2
2688
    PORT MAP (
2689
    i0 => auxsc449,
2690
    i1 => auxreg55,
2691
    q => o1(6),
2692
    vdd => vdd,
2693
    vss => vss);
2694
  o3_13 : a2_x2
2695
    PORT MAP (
2696
    i0 => auxsc449,
2697
    i1 => auxreg30,
2698
    q => o3(13),
2699
    vdd => vdd,
2700
    vss => vss);
2701
  auxsc385 : na2_x1
2702
    PORT MAP (
2703
    i0 => sel,
2704
    i1 => i1(6),
2705
    nq => auxsc385,
2706
    vdd => vdd,
2707
    vss => vss);
2708
  auxsc34 : inv_x1
2709
    PORT MAP (
2710
    i => i8(4),
2711
    nq => auxsc34,
2712
    vdd => vdd,
2713
    vss => vss);
2714
  auxsc382 : nao22_x1
2715
    PORT MAP (
2716
    i0 => sel,
2717
    i1 => auxsc384,
2718
    i2 => auxsc385,
2719
    nq => auxsc382,
2720
    vdd => vdd,
2721
    vss => vss);
2722
  auxsc384 : inv_x1
2723
    PORT MAP (
2724
    i => i5(6),
2725
    nq => auxsc384,
2726
    vdd => vdd,
2727
    vss => vss);
2728
  auxsc109 : nao22_x1
2729
    PORT MAP (
2730
    i0 => sel,
2731
    i1 => auxsc111,
2732
    i2 => auxsc112,
2733
    nq => auxsc109,
2734
    vdd => vdd,
2735
    vss => vss);
2736
  auxsc111 : inv_x1
2737
    PORT MAP (
2738
    i => i8(15),
2739
    nq => auxsc111,
2740
    vdd => vdd,
2741
    vss => vss);
2742
  auxsc112 : na2_x1
2743
    PORT MAP (
2744
    i0 => sel,
2745
    i1 => i4(15),
2746
    nq => auxsc112,
2747
    vdd => vdd,
2748
    vss => vss);
2749
  auxsc314 : inv_x1
2750
    PORT MAP (
2751
    i => i6(12),
2752
    nq => auxsc314,
2753
    vdd => vdd,
2754
    vss => vss);
2755
  auxsc312 : nao22_x1
2756
    PORT MAP (
2757
    i0 => sel,
2758
    i1 => auxsc314,
2759
    i2 => auxsc315,
2760
    nq => auxsc312,
2761
    vdd => vdd,
2762
    vss => vss);
2763
  auxsc315 : na2_x1
2764
    PORT MAP (
2765
    i0 => sel,
2766
    i1 => i2(12),
2767
    nq => auxsc315,
2768
    vdd => vdd,
2769
    vss => vss);
2770
  reg1_4 : sff1_x4
2771
    PORT MAP (
2772
    ck => en,
2773
    i => auxsc368,
2774
    q => auxreg53,
2775
    vdd => vdd,
2776
    vss => vss);
2777
  reg3_5 : sff1_x4
2778
    PORT MAP (
2779
    ck => en,
2780
    i => auxsc151,
2781
    q => auxreg22,
2782
    vdd => vdd,
2783
    vss => vss);
2784
  auxsc153 : inv_x1
2785
    PORT MAP (
2786
    i => i7(5),
2787
    nq => auxsc153,
2788
    vdd => vdd,
2789
    vss => vss);
2790
  auxsc151 : nao22_x1
2791
    PORT MAP (
2792
    i0 => sel,
2793
    i1 => auxsc153,
2794
    i2 => auxsc154,
2795
    nq => auxsc151,
2796
    vdd => vdd,
2797
    vss => vss);
2798
  o3_5 : a2_x2
2799
    PORT MAP (
2800
    i0 => auxsc449,
2801
    i1 => auxreg22,
2802
    q => o3(5),
2803
    vdd => vdd,
2804
    vss => vss);
2805
  auxsc154 : na2_x1
2806
    PORT MAP (
2807
    i0 => sel,
2808
    i1 => i3(5),
2809
    nq => auxsc154,
2810
    vdd => vdd,
2811
    vss => vss);
2812
  auxsc445 : nao22_x1
2813
    PORT MAP (
2814
    i0 => sel,
2815
    i1 => auxsc447,
2816
    i2 => auxsc448,
2817
    nq => auxsc445,
2818
    vdd => vdd,
2819
    vss => vss);
2820
  auxsc448 : na2_x1
2821
    PORT MAP (
2822
    i0 => sel,
2823
    i1 => i1(15),
2824
    nq => auxsc448,
2825
    vdd => vdd,
2826
    vss => vss);
2827
  row15 : rowend_x0
2828
    PORT MAP (
2829
    vdd => vdd,
2830
    vss => vss);
2831
  row16 : rowend_x0
2832
    PORT MAP (
2833
    vdd => vdd,
2834
    vss => vss);
2835
  row17 : rowend_x0
2836
    PORT MAP (
2837
    vdd => vdd,
2838
    vss => vss);
2839
  reg1_11 : sff1_x4
2840
    PORT MAP (
2841
    ck => en,
2842
    i => auxsc417,
2843
    q => auxreg60,
2844
    vdd => vdd,
2845
    vss => vss);
2846
  o1_11 : a2_x2
2847
    PORT MAP (
2848
    i0 => auxsc449,
2849
    i1 => auxreg60,
2850
    q => o1(11),
2851
    vdd => vdd,
2852
    vss => vss);
2853
  o3_10 : a2_x2
2854
    PORT MAP (
2855
    i0 => auxsc449,
2856
    i1 => auxreg27,
2857
    q => o3(10),
2858
    vdd => vdd,
2859
    vss => vss);
2860
  auxsc420 : na2_x1
2861
    PORT MAP (
2862
    i0 => sel,
2863
    i1 => i1(11),
2864
    nq => auxsc420,
2865
    vdd => vdd,
2866
    vss => vss);
2867
  auxsc417 : nao22_x1
2868
    PORT MAP (
2869
    i0 => sel,
2870
    i1 => auxsc419,
2871
    i2 => auxsc420,
2872
    nq => auxsc417,
2873
    vdd => vdd,
2874
    vss => vss);
2875
  auxsc419 : inv_x1
2876
    PORT MAP (
2877
    i => i5(11),
2878
    nq => auxsc419,
2879
    vdd => vdd,
2880
    vss => vss);
2881
  auxsc235 : nao22_x1
2882
    PORT MAP (
2883
    i0 => sel,
2884
    i1 => auxsc237,
2885
    i2 => auxsc238,
2886
    nq => auxsc235,
2887
    vdd => vdd,
2888
    vss => vss);
2889
  auxsc238 : na2_x1
2890
    PORT MAP (
2891
    i0 => sel,
2892
    i1 => i2(1),
2893
    nq => auxsc238,
2894
    vdd => vdd,
2895
    vss => vss);
2896
  auxsc431 : nao22_x1
2897
    PORT MAP (
2898
    i0 => sel,
2899
    i1 => auxsc433,
2900
    i2 => auxsc434,
2901
    nq => auxsc431,
2902
    vdd => vdd,
2903
    vss => vss);
2904
  auxsc434 : na2_x1
2905
    PORT MAP (
2906
    i0 => sel,
2907
    i1 => i1(13),
2908
    nq => auxsc434,
2909
    vdd => vdd,
2910
    vss => vss);
2911
  reg1_13 : sff1_x4
2912
    PORT MAP (
2913
    ck => en,
2914
    i => auxsc431,
2915
    q => auxreg62,
2916
    vdd => vdd,
2917
    vss => vss);
2918
  auxsc62 : inv_x1
2919
    PORT MAP (
2920
    i => i8(8),
2921
    nq => auxsc62,
2922
    vdd => vdd,
2923
    vss => vss);
2924
  auxsc60 : nao22_x1
2925
    PORT MAP (
2926
    i0 => sel,
2927
    i1 => auxsc62,
2928
    i2 => auxsc63,
2929
    nq => auxsc60,
2930
    vdd => vdd,
2931
    vss => vss);
2932
  auxsc63 : na2_x1
2933
    PORT MAP (
2934
    i0 => sel,
2935
    i1 => i4(8),
2936
    nq => auxsc63,
2937
    vdd => vdd,
2938
    vss => vss);
2939
  o1_13 : a2_x2
2940
    PORT MAP (
2941
    i0 => auxsc449,
2942
    i1 => auxreg62,
2943
    q => o1(13),
2944
    vdd => vdd,
2945
    vss => vss);
2946
  reg4_8 : sff1_x4
2947
    PORT MAP (
2948
    ck => en,
2949
    i => auxsc60,
2950
    q => auxreg9,
2951
    vdd => vdd,
2952
    vss => vss);
2953
  reg3_8 : sff1_x4
2954
    PORT MAP (
2955
    ck => en,
2956
    i => auxsc172,
2957
    q => auxreg25,
2958
    vdd => vdd,
2959
    vss => vss);
2960
  o4_8 : a2_x2
2961
    PORT MAP (
2962
    i0 => auxsc449,
2963
    i1 => auxreg9,
2964
    q => o4(8),
2965
    vdd => vdd,
2966
    vss => vss);
2967
  o3_8 : a2_x2
2968
    PORT MAP (
2969
    i0 => auxsc449,
2970
    i1 => auxreg25,
2971
    q => o3(8),
2972
    vdd => vdd,
2973
    vss => vss);
2974
  auxsc210 : na2_x1
2975
    PORT MAP (
2976
    i0 => sel,
2977
    i1 => i3(13),
2978
    nq => auxsc210,
2979
    vdd => vdd,
2980
    vss => vss);
2981
  feed133 : rowend_x0
2982
    PORT MAP (
2983
    vdd => vdd,
2984
    vss => vss);
2985
  feed134 : rowend_x0
2986
    PORT MAP (
2987
    vdd => vdd,
2988
    vss => vss);
2989
  feed135 : rowend_x0
2990
    PORT MAP (
2991
    vdd => vdd,
2992
    vss => vss);
2993
  feed136 : rowend_x0
2994
    PORT MAP (
2995
    vdd => vdd,
2996
    vss => vss);
2997
  feed137 : rowend_x0
2998
    PORT MAP (
2999
    vdd => vdd,
3000
    vss => vss);
3001
  feed138 : rowend_x0
3002
    PORT MAP (
3003
    vdd => vdd,
3004
    vss => vss);
3005
  feed139 : rowend_x0
3006
    PORT MAP (
3007
    vdd => vdd,
3008
    vss => vss);
3009
  feed140 : rowend_x0
3010
    PORT MAP (
3011
    vdd => vdd,
3012
    vss => vss);
3013
  feed141 : rowend_x0
3014
    PORT MAP (
3015
    vdd => vdd,
3016
    vss => vss);
3017
  feed142 : rowend_x0
3018
    PORT MAP (
3019
    vdd => vdd,
3020
    vss => vss);
3021
  feed143 : rowend_x0
3022
    PORT MAP (
3023
    vdd => vdd,
3024
    vss => vss);
3025
  feed144 : rowend_x0
3026
    PORT MAP (
3027
    vdd => vdd,
3028
    vss => vss);
3029
  feed145 : rowend_x0
3030
    PORT MAP (
3031
    vdd => vdd,
3032
    vss => vss);
3033
  feed146 : rowend_x0
3034
    PORT MAP (
3035
    vdd => vdd,
3036
    vss => vss);
3037
  feed147 : rowend_x0
3038
    PORT MAP (
3039
    vdd => vdd,
3040
    vss => vss);
3041
  feed148 : rowend_x0
3042
    PORT MAP (
3043
    vdd => vdd,
3044
    vss => vss);
3045
  auxsc172 : nao22_x1
3046
    PORT MAP (
3047
    i0 => sel,
3048
    i1 => auxsc174,
3049
    i2 => auxsc175,
3050
    nq => auxsc172,
3051
    vdd => vdd,
3052
    vss => vss);
3053
  auxsc174 : inv_x1
3054
    PORT MAP (
3055
    i => i7(8),
3056
    nq => auxsc174,
3057
    vdd => vdd,
3058
    vss => vss);
3059
  auxsc175 : na2_x1
3060
    PORT MAP (
3061
    i0 => sel,
3062
    i1 => i3(8),
3063
    nq => auxsc175,
3064
    vdd => vdd,
3065
    vss => vss);
3066
  o4_4 : a2_x2
3067
    PORT MAP (
3068
    i0 => auxsc449,
3069
    i1 => auxreg5,
3070
    q => o4(4),
3071
    vdd => vdd,
3072
    vss => vss);
3073
  reg4_4 : sff1_x4
3074
    PORT MAP (
3075
    ck => en,
3076
    i => auxsc32,
3077
    q => auxreg5,
3078
    vdd => vdd,
3079
    vss => vss);
3080
  auxsc32 : nao22_x1
3081
    PORT MAP (
3082
    i0 => sel,
3083
    i1 => auxsc34,
3084
    i2 => auxsc35,
3085
    nq => auxsc32,
3086
    vdd => vdd,
3087
    vss => vss);
3088
  reg2_12 : sff1_x4
3089
    PORT MAP (
3090
    ck => en,
3091
    i => auxsc312,
3092
    q => auxreg45,
3093
    vdd => vdd,
3094
    vss => vss);
3095
  reg3_7 : sff1_x4
3096
    PORT MAP (
3097
    ck => en,
3098
    i => auxsc165,
3099
    q => auxreg24,
3100
    vdd => vdd,
3101
    vss => vss);
3102
  auxsc35 : na2_x1
3103
    PORT MAP (
3104
    i0 => sel,
3105
    i1 => i4(4),
3106
    nq => auxsc35,
3107
    vdd => vdd,
3108
    vss => vss);
3109
  o3_7 : a2_x2
3110
    PORT MAP (
3111
    i0 => auxsc449,
3112
    i1 => auxreg24,
3113
    q => o3(7),
3114
    vdd => vdd,
3115
    vss => vss);
3116
  auxsc167 : inv_x1
3117
    PORT MAP (
3118
    i => i7(7),
3119
    nq => auxsc167,
3120
    vdd => vdd,
3121
    vss => vss);
3122
  auxsc165 : nao22_x1
3123
    PORT MAP (
3124
    i0 => sel,
3125
    i1 => auxsc167,
3126
    i2 => auxsc168,
3127
    nq => auxsc165,
3128
    vdd => vdd,
3129
    vss => vss);
3130
  o2_12 : a2_x2
3131
    PORT MAP (
3132
    i0 => auxsc449,
3133
    i1 => auxreg45,
3134
    q => o2(12),
3135
    vdd => vdd,
3136
    vss => vss);
3137
  reg1_15 : sff1_x4
3138
    PORT MAP (
3139
    ck => en,
3140
    i => auxsc445,
3141
    q => auxreg64,
3142
    vdd => vdd,
3143
    vss => vss);
3144
  o1_15 : a2_x2
3145
    PORT MAP (
3146
    i0 => auxsc449,
3147
    i1 => auxreg64,
3148
    q => o1(15),
3149
    vdd => vdd,
3150
    vss => vss);
3151
  auxsc168 : na2_x1
3152
    PORT MAP (
3153
    i0 => sel,
3154
    i1 => i3(7),
3155
    nq => auxsc168,
3156
    vdd => vdd,
3157
    vss => vss);
3158
  auxsc7 : na2_x1
3159
    PORT MAP (
3160
    i0 => sel,
3161
    i1 => i4(0),
3162
    nq => auxsc7,
3163
    vdd => vdd,
3164
    vss => vss);
3165
  auxsc4 : nao22_x1
3166
    PORT MAP (
3167
    i0 => sel,
3168
    i1 => auxsc6,
3169
    i2 => auxsc7,
3170
    nq => auxsc4,
3171
    vdd => vdd,
3172
    vss => vss);
3173
  auxsc6 : inv_x1
3174
    PORT MAP (
3175
    i => i8(0),
3176
    nq => auxsc6,
3177
    vdd => vdd,
3178
    vss => vss);
3179
  row18 : rowend_x0
3180
    PORT MAP (
3181
    vdd => vdd,
3182
    vss => vss);
3183
  row19 : rowend_x0
3184
    PORT MAP (
3185
    vdd => vdd,
3186
    vss => vss);
3187
  row20 : rowend_x0
3188
    PORT MAP (
3189
    vdd => vdd,
3190
    vss => vss);
3191
 
3192
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.