OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [tags/] [vlsi/] [main control/] [dec_mode.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `dec_mode`
2
--              date : Sat Sep  1 20:26:07 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY dec_mode IS
8
  PORT (
9
  start : in BIT;       -- start
10
  mode : in BIT_VECTOR (1 DOWNTO 0);    -- mode
11
  ecb : out BIT;        -- ecb
12
  cbc : out BIT;        -- cbc
13
  cfb : out BIT;        -- cfb
14
  ofb : out BIT;        -- ofb
15
  vdd : in BIT; -- vdd
16
  vss : in BIT  -- vss
17
  );
18
END dec_mode;
19
 
20
-- Architecture Declaration
21
 
22
ARCHITECTURE VST OF dec_mode IS
23
  COMPONENT a2_x2
24
    port (
25
    i0 : in BIT;        -- i0
26
    i1 : in BIT;        -- i1
27
    q : out BIT;        -- q
28
    vdd : in BIT;       -- vdd
29
    vss : in BIT        -- vss
30
    );
31
  END COMPONENT;
32
 
33
  COMPONENT a3_x2
34
    port (
35
    i0 : in BIT;        -- i0
36
    i1 : in BIT;        -- i1
37
    i2 : in BIT;        -- i2
38
    q : out BIT;        -- q
39
    vdd : in BIT;       -- vdd
40
    vss : in BIT        -- vss
41
    );
42
  END COMPONENT;
43
 
44
  COMPONENT inv_x1
45
    port (
46
    i : in BIT; -- i
47
    nq : out BIT;       -- nq
48
    vdd : in BIT;       -- vdd
49
    vss : in BIT        -- vss
50
    );
51
  END COMPONENT;
52
 
53
  COMPONENT an12_x1
54
    port (
55
    i0 : in BIT;        -- i0
56
    i1 : in BIT;        -- i1
57
    q : out BIT;        -- q
58
    vdd : in BIT;       -- vdd
59
    vss : in BIT        -- vss
60
    );
61
  END COMPONENT;
62
 
63
  SIGNAL auxsc3 : BIT;  -- auxsc3
64
  SIGNAL auxsc6 : BIT;  -- auxsc6
65
  SIGNAL auxsc7 : BIT;  -- auxsc7
66
  SIGNAL auxsc8 : BIT;  -- auxsc8
67
 
68
BEGIN
69
 
70
  ofb : a3_x2
71
    PORT MAP (
72
    vss => vss,
73
    vdd => vdd,
74
    q => ofb,
75
    i2 => start,
76
    i1 => mode(1),
77
    i0 => mode(0));
78
  cfb : a2_x2
79
    PORT MAP (
80
    vss => vss,
81
    vdd => vdd,
82
    q => cfb,
83
    i1 => auxsc3,
84
    i0 => start);
85
  cbc : a2_x2
86
    PORT MAP (
87
    vss => vss,
88
    vdd => vdd,
89
    q => cbc,
90
    i1 => auxsc6,
91
    i0 => start);
92
  ecb : a3_x2
93
    PORT MAP (
94
    vss => vss,
95
    vdd => vdd,
96
    q => ecb,
97
    i2 => auxsc8,
98
    i1 => auxsc7,
99
    i0 => start);
100
  auxsc8 : inv_x1
101
    PORT MAP (
102
    vss => vss,
103
    vdd => vdd,
104
    nq => auxsc8,
105
    i => mode(1));
106
  auxsc7 : inv_x1
107
    PORT MAP (
108
    vss => vss,
109
    vdd => vdd,
110
    nq => auxsc7,
111
    i => mode(0));
112
  auxsc6 : an12_x1
113
    PORT MAP (
114
    vss => vss,
115
    vdd => vdd,
116
    q => auxsc6,
117
    i1 => mode(0),
118
    i0 => mode(1));
119
  auxsc3 : an12_x1
120
    PORT MAP (
121
    vss => vss,
122
    vdd => vdd,
123
    q => auxsc3,
124
    i1 => mode(1),
125
    i0 => mode(0));
126
 
127
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.