OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [tags/] [vlsi/] [main control/] [ecb.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `ecb`
2
--              date : Sat Sep  1 20:15:33 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY ecb IS
8
  PORT (
9
  active : in BIT;      -- active
10
  clk : in BIT; -- clk
11
  cke : in BIT; -- cke
12
  key_ready : in BIT;   -- key_ready
13
  finish : in BIT;      -- finish
14
  req_cp : in BIT;      -- req_cp
15
  e : in BIT;   -- e
16
  e_mesin : out BIT;    -- e_mesin
17
  s_mesin : out BIT;    -- s_mesin
18
  s_gen_key : out BIT;  -- s_gen_key
19
  emp_buf : out BIT;    -- emp_buf
20
  cp_ready : out BIT;   -- cp_ready
21
  cke_b_mode : out BIT; -- cke_b_mode
22
  en_in : out BIT;      -- en_in
23
  en_iv : out BIT;      -- en_iv
24
  en_rcbc : out BIT;    -- en_rcbc
25
  en_out : out BIT;     -- en_out
26
  sel1 : out BIT_VECTOR (1 DOWNTO 0);   -- sel1
27
  sel2 : out BIT_VECTOR (1 DOWNTO 0);   -- sel2
28
  sel3 : out BIT_VECTOR (1 DOWNTO 0);   -- sel3
29
  vdd : in BIT; -- vdd
30
  vss : in BIT  -- vss
31
  );
32
END ecb;
33
 
34
-- Architecture Declaration
35
 
36
ARCHITECTURE VST OF ecb IS
37
  COMPONENT zero_x0
38
    port (
39
    nq : out BIT;       -- nq
40
    vdd : in BIT;       -- vdd
41
    vss : in BIT        -- vss
42
    );
43
  END COMPONENT;
44
 
45
  COMPONENT ao22_x2
46
    port (
47
    i0 : in BIT;        -- i0
48
    i1 : in BIT;        -- i1
49
    i2 : in BIT;        -- i2
50
    q : out BIT;        -- q
51
    vdd : in BIT;       -- vdd
52
    vss : in BIT        -- vss
53
    );
54
  END COMPONENT;
55
 
56
  COMPONENT oa2a22_x2
57
    port (
58
    i0 : in BIT;        -- i0
59
    i1 : in BIT;        -- i1
60
    i2 : in BIT;        -- i2
61
    i3 : in BIT;        -- i3
62
    q : out BIT;        -- q
63
    vdd : in BIT;       -- vdd
64
    vss : in BIT        -- vss
65
    );
66
  END COMPONENT;
67
 
68
  COMPONENT na3_x1
69
    port (
70
    i0 : in BIT;        -- i0
71
    i1 : in BIT;        -- i1
72
    i2 : in BIT;        -- i2
73
    nq : out BIT;       -- nq
74
    vdd : in BIT;       -- vdd
75
    vss : in BIT        -- vss
76
    );
77
  END COMPONENT;
78
 
79
  COMPONENT o2_x2
80
    port (
81
    i0 : in BIT;        -- i0
82
    i1 : in BIT;        -- i1
83
    q : out BIT;        -- q
84
    vdd : in BIT;       -- vdd
85
    vss : in BIT        -- vss
86
    );
87
  END COMPONENT;
88
 
89
  COMPONENT oa2a2a2a24_x2
90
    port (
91
    i0 : in BIT;        -- i0
92
    i1 : in BIT;        -- i1
93
    i2 : in BIT;        -- i2
94
    i3 : in BIT;        -- i3
95
    i4 : in BIT;        -- i4
96
    i5 : in BIT;        -- i5
97
    i6 : in BIT;        -- i6
98
    i7 : in BIT;        -- i7
99
    q : out BIT;        -- q
100
    vdd : in BIT;       -- vdd
101
    vss : in BIT        -- vss
102
    );
103
  END COMPONENT;
104
 
105
  COMPONENT na4_x1
106
    port (
107
    i0 : in BIT;        -- i0
108
    i1 : in BIT;        -- i1
109
    i2 : in BIT;        -- i2
110
    i3 : in BIT;        -- i3
111
    nq : out BIT;       -- nq
112
    vdd : in BIT;       -- vdd
113
    vss : in BIT        -- vss
114
    );
115
  END COMPONENT;
116
 
117
  COMPONENT no4_x1
118
    port (
119
    i0 : in BIT;        -- i0
120
    i1 : in BIT;        -- i1
121
    i2 : in BIT;        -- i2
122
    i3 : in BIT;        -- i3
123
    nq : out BIT;       -- nq
124
    vdd : in BIT;       -- vdd
125
    vss : in BIT        -- vss
126
    );
127
  END COMPONENT;
128
 
129
  COMPONENT o4_x2
130
    port (
131
    i0 : in BIT;        -- i0
132
    i1 : in BIT;        -- i1
133
    i2 : in BIT;        -- i2
134
    i3 : in BIT;        -- i3
135
    q : out BIT;        -- q
136
    vdd : in BIT;       -- vdd
137
    vss : in BIT        -- vss
138
    );
139
  END COMPONENT;
140
 
141
  COMPONENT an12_x1
142
    port (
143
    i0 : in BIT;        -- i0
144
    i1 : in BIT;        -- i1
145
    q : out BIT;        -- q
146
    vdd : in BIT;       -- vdd
147
    vss : in BIT        -- vss
148
    );
149
  END COMPONENT;
150
 
151
  COMPONENT o3_x2
152
    port (
153
    i0 : in BIT;        -- i0
154
    i1 : in BIT;        -- i1
155
    i2 : in BIT;        -- i2
156
    q : out BIT;        -- q
157
    vdd : in BIT;       -- vdd
158
    vss : in BIT        -- vss
159
    );
160
  END COMPONENT;
161
 
162
  COMPONENT na2_x1
163
    port (
164
    i0 : in BIT;        -- i0
165
    i1 : in BIT;        -- i1
166
    nq : out BIT;       -- nq
167
    vdd : in BIT;       -- vdd
168
    vss : in BIT        -- vss
169
    );
170
  END COMPONENT;
171
 
172
  COMPONENT inv_x1
173
    port (
174
    i : in BIT; -- i
175
    nq : out BIT;       -- nq
176
    vdd : in BIT;       -- vdd
177
    vss : in BIT        -- vss
178
    );
179
  END COMPONENT;
180
 
181
  COMPONENT nao2o22_x1
182
    port (
183
    i0 : in BIT;        -- i0
184
    i1 : in BIT;        -- i1
185
    i2 : in BIT;        -- i2
186
    i3 : in BIT;        -- i3
187
    nq : out BIT;       -- nq
188
    vdd : in BIT;       -- vdd
189
    vss : in BIT        -- vss
190
    );
191
  END COMPONENT;
192
 
193
  COMPONENT a2_x2
194
    port (
195
    i0 : in BIT;        -- i0
196
    i1 : in BIT;        -- i1
197
    q : out BIT;        -- q
198
    vdd : in BIT;       -- vdd
199
    vss : in BIT        -- vss
200
    );
201
  END COMPONENT;
202
 
203
  COMPONENT no2_x1
204
    port (
205
    i0 : in BIT;        -- i0
206
    i1 : in BIT;        -- i1
207
    nq : out BIT;       -- nq
208
    vdd : in BIT;       -- vdd
209
    vss : in BIT        -- vss
210
    );
211
  END COMPONENT;
212
 
213
  COMPONENT a3_x2
214
    port (
215
    i0 : in BIT;        -- i0
216
    i1 : in BIT;        -- i1
217
    i2 : in BIT;        -- i2
218
    q : out BIT;        -- q
219
    vdd : in BIT;       -- vdd
220
    vss : in BIT        -- vss
221
    );
222
  END COMPONENT;
223
 
224
  COMPONENT nao22_x1
225
    port (
226
    i0 : in BIT;        -- i0
227
    i1 : in BIT;        -- i1
228
    i2 : in BIT;        -- i2
229
    nq : out BIT;       -- nq
230
    vdd : in BIT;       -- vdd
231
    vss : in BIT        -- vss
232
    );
233
  END COMPONENT;
234
 
235
  COMPONENT no3_x1
236
    port (
237
    i0 : in BIT;        -- i0
238
    i1 : in BIT;        -- i1
239
    i2 : in BIT;        -- i2
240
    nq : out BIT;       -- nq
241
    vdd : in BIT;       -- vdd
242
    vss : in BIT        -- vss
243
    );
244
  END COMPONENT;
245
 
246
  COMPONENT sff1_x4
247
    port (
248
    ck : in BIT;        -- ck
249
    i : in BIT; -- i
250
    q : out BIT;        -- q
251
    vdd : in BIT;       -- vdd
252
    vss : in BIT        -- vss
253
    );
254
  END COMPONENT;
255
 
256
  SIGNAL current_state_s0 : BIT;        -- current_state_s0
257
  SIGNAL next_state_s1 : BIT;   -- next_state_s1
258
  SIGNAL current_state_s1 : BIT;        -- current_state_s1
259
  SIGNAL current_state_s2 : BIT;        -- current_state_s2
260
  SIGNAL current_state_s3 : BIT;        -- current_state_s3
261
  SIGNAL current_state_s4 : BIT;        -- current_state_s4
262
  SIGNAL next_state_s5 : BIT;   -- next_state_s5
263
  SIGNAL current_state_s5 : BIT;        -- current_state_s5
264
  SIGNAL next_state_s6 : BIT;   -- next_state_s6
265
  SIGNAL current_state_s6 : BIT;        -- current_state_s6
266
  SIGNAL auxsc5 : BIT;  -- auxsc5
267
  SIGNAL auxsc9 : BIT;  -- auxsc9
268
  SIGNAL auxsc6 : BIT;  -- auxsc6
269
  SIGNAL auxsc10 : BIT; -- auxsc10
270
  SIGNAL auxsc2 : BIT;  -- auxsc2
271
  SIGNAL auxsc1 : BIT;  -- auxsc1
272
  SIGNAL auxsc31 : BIT; -- auxsc31
273
  SIGNAL auxsc32 : BIT; -- auxsc32
274
  SIGNAL auxsc19 : BIT; -- auxsc19
275
  SIGNAL auxsc33 : BIT; -- auxsc33
276
  SIGNAL auxsc77 : BIT; -- auxsc77
277
  SIGNAL auxsc67 : BIT; -- auxsc67
278
  SIGNAL auxsc68 : BIT; -- auxsc68
279
  SIGNAL auxsc69 : BIT; -- auxsc69
280
  SIGNAL auxsc65 : BIT; -- auxsc65
281
  SIGNAL auxsc11 : BIT; -- auxsc11
282
  SIGNAL auxsc78 : BIT; -- auxsc78
283
  SIGNAL auxsc79 : BIT; -- auxsc79
284
  SIGNAL auxsc80 : BIT; -- auxsc80
285
  SIGNAL auxsc81 : BIT; -- auxsc81
286
  SIGNAL auxsc54 : BIT; -- auxsc54
287
  SIGNAL auxsc56 : BIT; -- auxsc56
288
  SIGNAL auxsc58 : BIT; -- auxsc58
289
  SIGNAL auxsc98 : BIT; -- auxsc98
290
  SIGNAL auxsc99 : BIT; -- auxsc99
291
  SIGNAL auxsc100 : BIT;        -- auxsc100
292
  SIGNAL auxsc101 : BIT;        -- auxsc101
293
  SIGNAL auxsc102 : BIT;        -- auxsc102
294
  SIGNAL auxsc113 : BIT;        -- auxsc113
295
  SIGNAL auxsc112 : BIT;        -- auxsc112
296
  SIGNAL auxsc60 : BIT; -- auxsc60
297
  SIGNAL auxsc129 : BIT;        -- auxsc129
298
  SIGNAL auxsc128 : BIT;        -- auxsc128
299
  SIGNAL auxsc62 : BIT; -- auxsc62
300
  SIGNAL auxsc174 : BIT;        -- auxsc174
301
  SIGNAL auxsc200 : BIT;        -- auxsc200
302
  SIGNAL auxsc169 : BIT;        -- auxsc169
303
  SIGNAL auxsc204 : BIT;        -- auxsc204
304
  SIGNAL auxsc206 : BIT;        -- auxsc206
305
  SIGNAL auxsc163 : BIT;        -- auxsc163
306
  SIGNAL auxsc177 : BIT;        -- auxsc177
307
  SIGNAL auxsc178 : BIT;        -- auxsc178
308
  SIGNAL auxsc179 : BIT;        -- auxsc179
309
  SIGNAL auxsc180 : BIT;        -- auxsc180
310
  SIGNAL auxsc172 : BIT;        -- auxsc172
311
  SIGNAL auxsc181 : BIT;        -- auxsc181
312
  SIGNAL auxsc182 : BIT;        -- auxsc182
313
  SIGNAL auxsc183 : BIT;        -- auxsc183
314
  SIGNAL auxsc165 : BIT;        -- auxsc165
315
  SIGNAL auxsc184 : BIT;        -- auxsc184
316
  SIGNAL auxsc185 : BIT;        -- auxsc185
317
  SIGNAL auxsc167 : BIT;        -- auxsc167
318
  SIGNAL auxsc186 : BIT;        -- auxsc186
319
  SIGNAL auxsc187 : BIT;        -- auxsc187
320
  SIGNAL auxsc188 : BIT;        -- auxsc188
321
  SIGNAL auxsc22 : BIT; -- auxsc22
322
  SIGNAL auxsc15 : BIT; -- auxsc15
323
  SIGNAL auxsc20 : BIT; -- auxsc20
324
  SIGNAL auxsc16 : BIT; -- auxsc16
325
  SIGNAL auxsc17 : BIT; -- auxsc17
326
  SIGNAL auxsc18 : BIT; -- auxsc18
327
  SIGNAL auxsc21 : BIT; -- auxsc21
328
  SIGNAL auxsc14 : BIT; -- auxsc14
329
  SIGNAL auxsc35 : BIT; -- auxsc35
330
  SIGNAL auxsc36 : BIT; -- auxsc36
331
  SIGNAL auxsc38 : BIT; -- auxsc38
332
  SIGNAL auxsc40 : BIT; -- auxsc40
333
  SIGNAL auxsc42 : BIT; -- auxsc42
334
  SIGNAL auxsc49 : BIT; -- auxsc49
335
  SIGNAL auxreg3 : BIT; -- auxreg3
336
  SIGNAL auxreg2 : BIT; -- auxreg2
337
  SIGNAL auxreg1 : BIT; -- auxreg1
338
 
339
BEGIN
340
 
341
  sel3_0 : zero_x0
342
    PORT MAP (
343
    vss => vss,
344
    vdd => vdd,
345
    nq => sel3(0));
346
  sel3_1 : o2_x2
347
    PORT MAP (
348
    vss => vss,
349
    vdd => vdd,
350
    q => sel3(1),
351
    i1 => auxsc81,
352
    i0 => auxsc77);
353
  sel2_0 : zero_x0
354
    PORT MAP (
355
    vss => vss,
356
    vdd => vdd,
357
    nq => sel2(0));
358
  sel2_1 : o2_x2
359
    PORT MAP (
360
    vss => vss,
361
    vdd => vdd,
362
    q => sel2(1),
363
    i1 => auxsc102,
364
    i0 => auxsc77);
365
  sel1_0 : o2_x2
366
    PORT MAP (
367
    vss => vss,
368
    vdd => vdd,
369
    q => sel1(0),
370
    i1 => auxsc112,
371
    i0 => auxsc113);
372
  sel1_1 : o3_x2
373
    PORT MAP (
374
    vss => vss,
375
    vdd => vdd,
376
    q => sel1(1),
377
    i2 => auxsc60,
378
    i1 => auxsc58,
379
    i0 => active);
380
  en_out : a3_x2
381
    PORT MAP (
382
    vss => vss,
383
    vdd => vdd,
384
    q => en_out,
385
    i2 => current_state_s3,
386
    i1 => auxsc11,
387
    i0 => finish);
388
  en_rcbc : zero_x0
389
    PORT MAP (
390
    vss => vss,
391
    vdd => vdd,
392
    nq => en_rcbc);
393
  en_iv : zero_x0
394
    PORT MAP (
395
    vss => vss,
396
    vdd => vdd,
397
    nq => en_iv);
398
  en_in : a3_x2
399
    PORT MAP (
400
    vss => vss,
401
    vdd => vdd,
402
    q => en_in,
403
    i2 => current_state_s1,
404
    i1 => auxsc11,
405
    i0 => key_ready);
406
  cke_b_mode : o4_x2
407
    PORT MAP (
408
    vss => vss,
409
    vdd => vdd,
410
    q => cke_b_mode,
411
    i3 => auxsc62,
412
    i2 => auxsc112,
413
    i1 => auxsc60,
414
    i0 => auxsc128);
415
  cp_ready : nao2o22_x1
416
    PORT MAP (
417
    vss => vss,
418
    vdd => vdd,
419
    nq => cp_ready,
420
    i3 => auxsc6,
421
    i2 => auxsc200,
422
    i1 => auxsc174,
423
    i0 => active);
424
  emp_buf : nao2o22_x1
425
    PORT MAP (
426
    vss => vss,
427
    vdd => vdd,
428
    nq => emp_buf,
429
    i3 => auxsc163,
430
    i2 => auxsc206,
431
    i1 => auxsc169,
432
    i0 => active);
433
  s_gen_key : o4_x2
434
    PORT MAP (
435
    vss => vss,
436
    vdd => vdd,
437
    q => s_gen_key,
438
    i3 => auxsc62,
439
    i2 => auxsc112,
440
    i1 => auxsc60,
441
    i0 => auxsc128);
442
  s_mesin : nao2o22_x1
443
    PORT MAP (
444
    vss => vss,
445
    vdd => vdd,
446
    nq => s_mesin,
447
    i3 => auxsc163,
448
    i2 => auxsc206,
449
    i1 => auxsc169,
450
    i0 => active);
451
  e_mesin : o2_x2
452
    PORT MAP (
453
    vss => vss,
454
    vdd => vdd,
455
    q => e_mesin,
456
    i1 => auxsc188,
457
    i0 => auxsc183);
458
  auxsc49 : o3_x2
459
    PORT MAP (
460
    vss => vss,
461
    vdd => vdd,
462
    q => auxsc49,
463
    i2 => auxsc42,
464
    i1 => auxsc40,
465
    i0 => next_state_s1);
466
  auxsc42 : o3_x2
467
    PORT MAP (
468
    vss => vss,
469
    vdd => vdd,
470
    q => auxsc42,
471
    i2 => next_state_s6,
472
    i1 => next_state_s5,
473
    i0 => active);
474
  auxsc40 : a2_x2
475
    PORT MAP (
476
    vss => vss,
477
    vdd => vdd,
478
    q => auxsc40,
479
    i1 => current_state_s0,
480
    i0 => auxsc31);
481
  auxsc38 : an12_x1
482
    PORT MAP (
483
    vss => vss,
484
    vdd => vdd,
485
    q => auxsc38,
486
    i1 => auxsc36,
487
    i0 => active);
488
  auxsc36 : o3_x2
489
    PORT MAP (
490
    vss => vss,
491
    vdd => vdd,
492
    q => auxsc36,
493
    i2 => auxsc35,
494
    i1 => next_state_s1,
495
    i0 => next_state_s5);
496
  auxsc35 : a2_x2
497
    PORT MAP (
498
    vss => vss,
499
    vdd => vdd,
500
    q => auxsc35,
501
    i1 => current_state_s3,
502
    i0 => finish);
503
  auxsc14 : ao22_x2
504
    PORT MAP (
505
    vss => vss,
506
    vdd => vdd,
507
    q => auxsc14,
508
    i2 => auxsc11,
509
    i1 => auxsc21,
510
    i0 => auxsc20);
511
  auxsc21 : o2_x2
512
    PORT MAP (
513
    vss => vss,
514
    vdd => vdd,
515
    q => auxsc21,
516
    i1 => auxsc18,
517
    i0 => auxsc16);
518
  auxsc18 : oa2a22_x2
519
    PORT MAP (
520
    vss => vss,
521
    vdd => vdd,
522
    q => auxsc18,
523
    i3 => auxsc17,
524
    i2 => auxsc5,
525
    i1 => current_state_s5,
526
    i0 => req_cp);
527
  auxsc17 : a2_x2
528
    PORT MAP (
529
    vss => vss,
530
    vdd => vdd,
531
    q => auxsc17,
532
    i1 => auxreg3,
533
    i0 => auxreg1);
534
  auxsc16 : oa2a22_x2
535
    PORT MAP (
536
    vss => vss,
537
    vdd => vdd,
538
    q => auxsc16,
539
    i3 => current_state_s5,
540
    i2 => auxsc9,
541
    i1 => auxsc2,
542
    i0 => auxreg2);
543
  auxsc20 : an12_x1
544
    PORT MAP (
545
    vss => vss,
546
    vdd => vdd,
547
    q => auxsc20,
548
    i1 => auxsc15,
549
    i0 => auxsc19);
550
  auxsc15 : no3_x1
551
    PORT MAP (
552
    vss => vss,
553
    vdd => vdd,
554
    nq => auxsc15,
555
    i2 => auxsc2,
556
    i1 => auxsc5,
557
    i0 => auxreg1);
558
  auxsc22 : inv_x1
559
    PORT MAP (
560
    vss => vss,
561
    vdd => vdd,
562
    nq => auxsc22,
563
    i => clk);
564
  auxsc188 : na4_x1
565
    PORT MAP (
566
    vss => vss,
567
    vdd => vdd,
568
    nq => auxsc188,
569
    i3 => auxsc187,
570
    i2 => auxsc186,
571
    i1 => auxsc185,
572
    i0 => auxsc184);
573
  auxsc187 : o3_x2
574
    PORT MAP (
575
    vss => vss,
576
    vdd => vdd,
577
    q => auxsc187,
578
    i2 => auxsc169,
579
    i1 => auxsc177,
580
    i0 => active);
581
  auxsc186 : o3_x2
582
    PORT MAP (
583
    vss => vss,
584
    vdd => vdd,
585
    q => auxsc186,
586
    i2 => auxsc167,
587
    i1 => auxsc177,
588
    i0 => active);
589
  auxsc167 : na3_x1
590
    PORT MAP (
591
    vss => vss,
592
    vdd => vdd,
593
    nq => auxsc167,
594
    i2 => auxreg3,
595
    i1 => auxreg1,
596
    i0 => auxsc5);
597
  auxsc185 : o3_x2
598
    PORT MAP (
599
    vss => vss,
600
    vdd => vdd,
601
    q => auxsc185,
602
    i2 => auxsc163,
603
    i1 => auxsc177,
604
    i0 => active);
605
  auxsc184 : o3_x2
606
    PORT MAP (
607
    vss => vss,
608
    vdd => vdd,
609
    q => auxsc184,
610
    i2 => auxsc165,
611
    i1 => auxsc177,
612
    i0 => active);
613
  auxsc165 : na3_x1
614
    PORT MAP (
615
    vss => vss,
616
    vdd => vdd,
617
    nq => auxsc165,
618
    i2 => auxreg3,
619
    i1 => auxreg2,
620
    i0 => auxsc1);
621
  auxsc183 : na4_x1
622
    PORT MAP (
623
    vss => vss,
624
    vdd => vdd,
625
    nq => auxsc183,
626
    i3 => auxsc182,
627
    i2 => auxsc181,
628
    i1 => auxsc180,
629
    i0 => auxsc179);
630
  auxsc182 : o3_x2
631
    PORT MAP (
632
    vss => vss,
633
    vdd => vdd,
634
    q => auxsc182,
635
    i2 => auxsc6,
636
    i1 => auxsc177,
637
    i0 => active);
638
  auxsc181 : o3_x2
639
    PORT MAP (
640
    vss => vss,
641
    vdd => vdd,
642
    q => auxsc181,
643
    i2 => auxsc172,
644
    i1 => auxsc177,
645
    i0 => active);
646
  auxsc172 : o3_x2
647
    PORT MAP (
648
    vss => vss,
649
    vdd => vdd,
650
    q => auxsc172,
651
    i2 => auxreg2,
652
    i1 => auxreg1,
653
    i0 => auxsc2);
654
  auxsc180 : o3_x2
655
    PORT MAP (
656
    vss => vss,
657
    vdd => vdd,
658
    q => auxsc180,
659
    i2 => auxsc174,
660
    i1 => auxsc177,
661
    i0 => active);
662
  auxsc179 : inv_x1
663
    PORT MAP (
664
    vss => vss,
665
    vdd => vdd,
666
    nq => auxsc179,
667
    i => auxsc178);
668
  auxsc178 : an12_x1
669
    PORT MAP (
670
    vss => vss,
671
    vdd => vdd,
672
    q => auxsc178,
673
    i1 => active,
674
    i0 => auxsc177);
675
  auxsc177 : inv_x1
676
    PORT MAP (
677
    vss => vss,
678
    vdd => vdd,
679
    nq => auxsc177,
680
    i => e);
681
  auxsc163 : o3_x2
682
    PORT MAP (
683
    vss => vss,
684
    vdd => vdd,
685
    q => auxsc163,
686
    i2 => auxreg3,
687
    i1 => auxreg2,
688
    i0 => auxreg1);
689
  auxsc206 : na2_x1
690
    PORT MAP (
691
    vss => vss,
692
    vdd => vdd,
693
    nq => auxsc206,
694
    i1 => auxsc11,
695
    i0 => auxsc204);
696
  auxsc204 : inv_x1
697
    PORT MAP (
698
    vss => vss,
699
    vdd => vdd,
700
    nq => auxsc204,
701
    i => finish);
702
  auxsc169 : o2_x2
703
    PORT MAP (
704
    vss => vss,
705
    vdd => vdd,
706
    q => auxsc169,
707
    i1 => auxreg3,
708
    i0 => auxsc1);
709
  auxsc200 : na2_x1
710
    PORT MAP (
711
    vss => vss,
712
    vdd => vdd,
713
    nq => auxsc200,
714
    i1 => auxsc11,
715
    i0 => auxsc9);
716
  auxsc174 : na2_x1
717
    PORT MAP (
718
    vss => vss,
719
    vdd => vdd,
720
    nq => auxsc174,
721
    i1 => auxreg2,
722
    i0 => auxsc2);
723
  auxsc62 : a2_x2
724
    PORT MAP (
725
    vss => vss,
726
    vdd => vdd,
727
    q => auxsc62,
728
    i1 => current_state_s3,
729
    i0 => auxsc11);
730
  auxsc128 : oa2a2a2a24_x2
731
    PORT MAP (
732
    vss => vss,
733
    vdd => vdd,
734
    q => auxsc128,
735
    i7 => current_state_s2,
736
    i6 => auxsc11,
737
    i5 => current_state_s0,
738
    i4 => auxsc129,
739
    i3 => current_state_s5,
740
    i2 => auxsc11,
741
    i1 => current_state_s4,
742
    i0 => auxsc11);
743
  auxsc129 : a2_x2
744
    PORT MAP (
745
    vss => vss,
746
    vdd => vdd,
747
    q => auxsc129,
748
    i1 => auxsc11,
749
    i0 => cke);
750
  auxsc60 : a2_x2
751
    PORT MAP (
752
    vss => vss,
753
    vdd => vdd,
754
    q => auxsc60,
755
    i1 => current_state_s1,
756
    i0 => auxsc11);
757
  auxsc112 : a2_x2
758
    PORT MAP (
759
    vss => vss,
760
    vdd => vdd,
761
    q => auxsc112,
762
    i1 => current_state_s6,
763
    i0 => auxsc11);
764
  auxsc113 : oa2a2a2a24_x2
765
    PORT MAP (
766
    vss => vss,
767
    vdd => vdd,
768
    q => auxsc113,
769
    i7 => current_state_s2,
770
    i6 => auxsc11,
771
    i5 => current_state_s3,
772
    i4 => auxsc11,
773
    i3 => current_state_s5,
774
    i2 => auxsc11,
775
    i1 => current_state_s4,
776
    i0 => auxsc11);
777
  auxsc102 : na4_x1
778
    PORT MAP (
779
    vss => vss,
780
    vdd => vdd,
781
    nq => auxsc102,
782
    i3 => auxsc101,
783
    i2 => auxsc100,
784
    i1 => auxsc99,
785
    i0 => auxsc98);
786
  auxsc101 : na2_x1
787
    PORT MAP (
788
    vss => vss,
789
    vdd => vdd,
790
    nq => auxsc101,
791
    i1 => current_state_s2,
792
    i0 => auxsc11);
793
  auxsc100 : na2_x1
794
    PORT MAP (
795
    vss => vss,
796
    vdd => vdd,
797
    nq => auxsc100,
798
    i1 => current_state_s1,
799
    i0 => auxsc11);
800
  auxsc99 : na2_x1
801
    PORT MAP (
802
    vss => vss,
803
    vdd => vdd,
804
    nq => auxsc99,
805
    i1 => current_state_s3,
806
    i0 => auxsc11);
807
  auxsc98 : no4_x1
808
    PORT MAP (
809
    vss => vss,
810
    vdd => vdd,
811
    nq => auxsc98,
812
    i3 => auxsc58,
813
    i2 => auxsc56,
814
    i1 => auxsc54,
815
    i0 => active);
816
  auxsc58 : a2_x2
817
    PORT MAP (
818
    vss => vss,
819
    vdd => vdd,
820
    q => auxsc58,
821
    i1 => current_state_s0,
822
    i0 => auxsc11);
823
  auxsc56 : a2_x2
824
    PORT MAP (
825
    vss => vss,
826
    vdd => vdd,
827
    q => auxsc56,
828
    i1 => current_state_s5,
829
    i0 => auxsc11);
830
  auxsc54 : a2_x2
831
    PORT MAP (
832
    vss => vss,
833
    vdd => vdd,
834
    q => auxsc54,
835
    i1 => current_state_s4,
836
    i0 => auxsc11);
837
  auxsc81 : o4_x2
838
    PORT MAP (
839
    vss => vss,
840
    vdd => vdd,
841
    q => auxsc81,
842
    i3 => auxsc80,
843
    i2 => auxsc79,
844
    i1 => auxsc78,
845
    i0 => auxsc65);
846
  auxsc80 : a2_x2
847
    PORT MAP (
848
    vss => vss,
849
    vdd => vdd,
850
    q => auxsc80,
851
    i1 => current_state_s2,
852
    i0 => auxsc11);
853
  auxsc79 : a2_x2
854
    PORT MAP (
855
    vss => vss,
856
    vdd => vdd,
857
    q => auxsc79,
858
    i1 => current_state_s3,
859
    i0 => auxsc11);
860
  auxsc78 : a2_x2
861
    PORT MAP (
862
    vss => vss,
863
    vdd => vdd,
864
    q => auxsc78,
865
    i1 => current_state_s1,
866
    i0 => auxsc11);
867
  auxsc11 : inv_x1
868
    PORT MAP (
869
    vss => vss,
870
    vdd => vdd,
871
    nq => auxsc11,
872
    i => active);
873
  auxsc65 : o4_x2
874
    PORT MAP (
875
    vss => vss,
876
    vdd => vdd,
877
    q => auxsc65,
878
    i3 => auxsc69,
879
    i2 => auxsc68,
880
    i1 => auxsc67,
881
    i0 => active);
882
  auxsc69 : an12_x1
883
    PORT MAP (
884
    vss => vss,
885
    vdd => vdd,
886
    q => auxsc69,
887
    i1 => current_state_s0,
888
    i0 => active);
889
  auxsc68 : an12_x1
890
    PORT MAP (
891
    vss => vss,
892
    vdd => vdd,
893
    q => auxsc68,
894
    i1 => current_state_s5,
895
    i0 => active);
896
  auxsc67 : an12_x1
897
    PORT MAP (
898
    vss => vss,
899
    vdd => vdd,
900
    q => auxsc67,
901
    i1 => current_state_s4,
902
    i0 => active);
903
  auxsc77 : an12_x1
904
    PORT MAP (
905
    vss => vss,
906
    vdd => vdd,
907
    q => auxsc77,
908
    i1 => current_state_s6,
909
    i0 => active);
910
  auxsc33 : na2_x1
911
    PORT MAP (
912
    vss => vss,
913
    vdd => vdd,
914
    nq => auxsc33,
915
    i1 => current_state_s1,
916
    i0 => auxsc19);
917
  auxsc19 : inv_x1
918
    PORT MAP (
919
    vss => vss,
920
    vdd => vdd,
921
    nq => auxsc19,
922
    i => key_ready);
923
  auxsc32 : o3_x2
924
    PORT MAP (
925
    vss => vss,
926
    vdd => vdd,
927
    q => auxsc32,
928
    i2 => auxreg1,
929
    i1 => auxsc2,
930
    i0 => auxreg2);
931
  auxsc31 : inv_x1
932
    PORT MAP (
933
    vss => vss,
934
    vdd => vdd,
935
    nq => auxsc31,
936
    i => cke);
937
  auxsc1 : inv_x1
938
    PORT MAP (
939
    vss => vss,
940
    vdd => vdd,
941
    nq => auxsc1,
942
    i => auxreg1);
943
  auxsc2 : inv_x1
944
    PORT MAP (
945
    vss => vss,
946
    vdd => vdd,
947
    nq => auxsc2,
948
    i => auxreg3);
949
  auxsc10 : na2_x1
950
    PORT MAP (
951
    vss => vss,
952
    vdd => vdd,
953
    nq => auxsc10,
954
    i1 => auxreg3,
955
    i0 => auxreg1);
956
  auxsc6 : na2_x1
957
    PORT MAP (
958
    vss => vss,
959
    vdd => vdd,
960
    nq => auxsc6,
961
    i1 => auxreg2,
962
    i0 => auxreg1);
963
  auxsc9 : inv_x1
964
    PORT MAP (
965
    vss => vss,
966
    vdd => vdd,
967
    nq => auxsc9,
968
    i => req_cp);
969
  auxsc5 : inv_x1
970
    PORT MAP (
971
    vss => vss,
972
    vdd => vdd,
973
    nq => auxsc5,
974
    i => auxreg2);
975
  current_state_s6 : a3_x2
976
    PORT MAP (
977
    vss => vss,
978
    vdd => vdd,
979
    q => current_state_s6,
980
    i2 => auxsc5,
981
    i1 => auxreg3,
982
    i0 => auxreg1);
983
  next_state_s6 : nao2o22_x1
984
    PORT MAP (
985
    vss => vss,
986
    vdd => vdd,
987
    nq => next_state_s6,
988
    i3 => auxsc10,
989
    i2 => auxreg2,
990
    i1 => auxsc6,
991
    i0 => auxsc9);
992
  current_state_s5 : a2_x2
993
    PORT MAP (
994
    vss => vss,
995
    vdd => vdd,
996
    q => current_state_s5,
997
    i1 => auxreg2,
998
    i0 => auxreg1);
999
  next_state_s5 : nao2o22_x1
1000
    PORT MAP (
1001
    vss => vss,
1002
    vdd => vdd,
1003
    nq => next_state_s5,
1004
    i3 => auxsc6,
1005
    i2 => req_cp,
1006
    i1 => auxsc5,
1007
    i0 => auxreg3);
1008
  current_state_s4 : a2_x2
1009
    PORT MAP (
1010
    vss => vss,
1011
    vdd => vdd,
1012
    q => current_state_s4,
1013
    i1 => auxsc2,
1014
    i0 => auxreg2);
1015
  current_state_s3 : no3_x1
1016
    PORT MAP (
1017
    vss => vss,
1018
    vdd => vdd,
1019
    nq => current_state_s3,
1020
    i2 => auxreg1,
1021
    i1 => auxreg3,
1022
    i0 => auxreg2);
1023
  current_state_s2 : no2_x1
1024
    PORT MAP (
1025
    vss => vss,
1026
    vdd => vdd,
1027
    nq => current_state_s2,
1028
    i1 => auxreg3,
1029
    i0 => auxsc1);
1030
  current_state_s1 : a3_x2
1031
    PORT MAP (
1032
    vss => vss,
1033
    vdd => vdd,
1034
    q => current_state_s1,
1035
    i2 => auxsc1,
1036
    i1 => auxreg3,
1037
    i0 => auxreg2);
1038
  next_state_s1 : nao22_x1
1039
    PORT MAP (
1040
    vss => vss,
1041
    vdd => vdd,
1042
    nq => next_state_s1,
1043
    i2 => auxsc33,
1044
    i1 => auxsc32,
1045
    i0 => auxsc31);
1046
  current_state_s0 : no3_x1
1047
    PORT MAP (
1048
    vss => vss,
1049
    vdd => vdd,
1050
    nq => current_state_s0,
1051
    i2 => auxreg1,
1052
    i1 => auxsc2,
1053
    i0 => auxreg2);
1054
  current_state_0 : sff1_x4
1055
    PORT MAP (
1056
    vss => vss,
1057
    vdd => vdd,
1058
    q => auxreg1,
1059
    i => auxsc14,
1060
    ck => auxsc22);
1061
  current_state_1 : sff1_x4
1062
    PORT MAP (
1063
    vss => vss,
1064
    vdd => vdd,
1065
    q => auxreg2,
1066
    i => auxsc38,
1067
    ck => auxsc22);
1068
  current_state_2 : sff1_x4
1069
    PORT MAP (
1070
    vss => vss,
1071
    vdd => vdd,
1072
    q => auxreg3,
1073
    i => auxsc49,
1074
    ck => auxsc22);
1075
 
1076
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.