OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [trunk/] [idea_machine/] [idea_heart_1r.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `idea_heart_1r`
2
--              date : Sat Sep  8 04:53:46 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY idea_heart_1r IS
8
  PORT (
9
  en : in BIT_VECTOR (1 TO 7);  -- en
10
  x1 : in BIT_VECTOR (0 TO 15); -- x1
11
  x2 : in BIT_VECTOR (0 TO 15); -- x2
12
  x3 : in BIT_VECTOR (0 TO 15); -- x3
13
  x4 : in BIT_VECTOR (0 TO 15); -- x4
14
  z1 : in BIT_VECTOR (0 TO 15); -- z1
15
  z2 : in BIT_VECTOR (0 TO 15); -- z2
16
  z3 : in BIT_VECTOR (0 TO 15); -- z3
17
  z4 : in BIT_VECTOR (0 TO 15); -- z4
18
  z5 : in BIT_VECTOR (0 TO 15); -- z5
19
  z6 : in BIT_VECTOR (0 TO 15); -- z6
20
  y1 : inout BIT_VECTOR (0 TO 15);      -- y1
21
  y2 : inout BIT_VECTOR (0 TO 15);      -- y2
22
  y3 : inout BIT_VECTOR (0 TO 15);      -- y3
23
  y4 : inout BIT_VECTOR (0 TO 15);      -- y4
24
  reset : in BIT;       -- reset
25
  vdd : in BIT; -- vdd
26
  vss : in BIT  -- vss
27
  );
28
END idea_heart_1r;
29
 
30
-- Architecture Declaration
31
 
32
ARCHITECTURE VST OF idea_heart_1r IS
33
  COMPONENT sm16plus1mul_glopf
34
    port (
35
    in1 : in BIT_VECTOR(0 TO 15);       -- in1
36
    in2 : in BIT_VECTOR(0 TO 15);       -- in2
37
    en : in BIT;        -- en
38
    clr : in BIT;       -- clr
39
    mulout : out BIT_VECTOR(0 TO 15);   -- mulout
40
    vdd : in BIT;       -- vdd
41
    vss : in BIT        -- vss
42
    );
43
  END COMPONENT;
44
 
45
  COMPONENT sm16adder_glopf
46
    port (
47
    a : in BIT_VECTOR(0 TO 15); -- a
48
    b : in BIT_VECTOR(0 TO 15); -- b
49
    en : in BIT;        -- en
50
    clr : in BIT;       -- clr
51
    s : inout BIT_VECTOR(0 TO 15);      -- s
52
    vdd : in BIT;       -- vdd
53
    vss : in BIT        -- vss
54
    );
55
  END COMPONENT;
56
 
57
  COMPONENT s16xor_glopf
58
    port (
59
    a : in BIT_VECTOR(0 TO 15); -- a
60
    b : in BIT_VECTOR(0 TO 15); -- b
61
    en : in BIT;        -- en
62
    clr : in BIT;       -- clr
63
    q : inout BIT_VECTOR(0 TO 15);      -- q
64
    vdd : in BIT;       -- vdd
65
    vss : in BIT        -- vss
66
    );
67
  END COMPONENT;
68
 
69
  SIGNAL o_add1_0 : BIT;        -- o_add1 0
70
  SIGNAL o_add1_1 : BIT;        -- o_add1 1
71
  SIGNAL o_add1_2 : BIT;        -- o_add1 2
72
  SIGNAL o_add1_3 : BIT;        -- o_add1 3
73
  SIGNAL o_add1_4 : BIT;        -- o_add1 4
74
  SIGNAL o_add1_5 : BIT;        -- o_add1 5
75
  SIGNAL o_add1_6 : BIT;        -- o_add1 6
76
  SIGNAL o_add1_7 : BIT;        -- o_add1 7
77
  SIGNAL o_add1_8 : BIT;        -- o_add1 8
78
  SIGNAL o_add1_9 : BIT;        -- o_add1 9
79
  SIGNAL o_add1_10 : BIT;       -- o_add1 10
80
  SIGNAL o_add1_11 : BIT;       -- o_add1 11
81
  SIGNAL o_add1_12 : BIT;       -- o_add1 12
82
  SIGNAL o_add1_13 : BIT;       -- o_add1 13
83
  SIGNAL o_add1_14 : BIT;       -- o_add1 14
84
  SIGNAL o_add1_15 : BIT;       -- o_add1 15
85
  SIGNAL o_add2_0 : BIT;        -- o_add2 0
86
  SIGNAL o_add2_1 : BIT;        -- o_add2 1
87
  SIGNAL o_add2_2 : BIT;        -- o_add2 2
88
  SIGNAL o_add2_3 : BIT;        -- o_add2 3
89
  SIGNAL o_add2_4 : BIT;        -- o_add2 4
90
  SIGNAL o_add2_5 : BIT;        -- o_add2 5
91
  SIGNAL o_add2_6 : BIT;        -- o_add2 6
92
  SIGNAL o_add2_7 : BIT;        -- o_add2 7
93
  SIGNAL o_add2_8 : BIT;        -- o_add2 8
94
  SIGNAL o_add2_9 : BIT;        -- o_add2 9
95
  SIGNAL o_add2_10 : BIT;       -- o_add2 10
96
  SIGNAL o_add2_11 : BIT;       -- o_add2 11
97
  SIGNAL o_add2_12 : BIT;       -- o_add2 12
98
  SIGNAL o_add2_13 : BIT;       -- o_add2 13
99
  SIGNAL o_add2_14 : BIT;       -- o_add2 14
100
  SIGNAL o_add2_15 : BIT;       -- o_add2 15
101
  SIGNAL o_add3_0 : BIT;        -- o_add3 0
102
  SIGNAL o_add3_1 : BIT;        -- o_add3 1
103
  SIGNAL o_add3_2 : BIT;        -- o_add3 2
104
  SIGNAL o_add3_3 : BIT;        -- o_add3 3
105
  SIGNAL o_add3_4 : BIT;        -- o_add3 4
106
  SIGNAL o_add3_5 : BIT;        -- o_add3 5
107
  SIGNAL o_add3_6 : BIT;        -- o_add3 6
108
  SIGNAL o_add3_7 : BIT;        -- o_add3 7
109
  SIGNAL o_add3_8 : BIT;        -- o_add3 8
110
  SIGNAL o_add3_9 : BIT;        -- o_add3 9
111
  SIGNAL o_add3_10 : BIT;       -- o_add3 10
112
  SIGNAL o_add3_11 : BIT;       -- o_add3 11
113
  SIGNAL o_add3_12 : BIT;       -- o_add3 12
114
  SIGNAL o_add3_13 : BIT;       -- o_add3 13
115
  SIGNAL o_add3_14 : BIT;       -- o_add3 14
116
  SIGNAL o_add3_15 : BIT;       -- o_add3 15
117
  SIGNAL o_add4_0 : BIT;        -- o_add4 0
118
  SIGNAL o_add4_1 : BIT;        -- o_add4 1
119
  SIGNAL o_add4_2 : BIT;        -- o_add4 2
120
  SIGNAL o_add4_3 : BIT;        -- o_add4 3
121
  SIGNAL o_add4_4 : BIT;        -- o_add4 4
122
  SIGNAL o_add4_5 : BIT;        -- o_add4 5
123
  SIGNAL o_add4_6 : BIT;        -- o_add4 6
124
  SIGNAL o_add4_7 : BIT;        -- o_add4 7
125
  SIGNAL o_add4_8 : BIT;        -- o_add4 8
126
  SIGNAL o_add4_9 : BIT;        -- o_add4 9
127
  SIGNAL o_add4_10 : BIT;       -- o_add4 10
128
  SIGNAL o_add4_11 : BIT;       -- o_add4 11
129
  SIGNAL o_add4_12 : BIT;       -- o_add4 12
130
  SIGNAL o_add4_13 : BIT;       -- o_add4 13
131
  SIGNAL o_add4_14 : BIT;       -- o_add4 14
132
  SIGNAL o_add4_15 : BIT;       -- o_add4 15
133
  SIGNAL o_mul1_0 : BIT;        -- o_mul1 0
134
  SIGNAL o_mul1_1 : BIT;        -- o_mul1 1
135
  SIGNAL o_mul1_2 : BIT;        -- o_mul1 2
136
  SIGNAL o_mul1_3 : BIT;        -- o_mul1 3
137
  SIGNAL o_mul1_4 : BIT;        -- o_mul1 4
138
  SIGNAL o_mul1_5 : BIT;        -- o_mul1 5
139
  SIGNAL o_mul1_6 : BIT;        -- o_mul1 6
140
  SIGNAL o_mul1_7 : BIT;        -- o_mul1 7
141
  SIGNAL o_mul1_8 : BIT;        -- o_mul1 8
142
  SIGNAL o_mul1_9 : BIT;        -- o_mul1 9
143
  SIGNAL o_mul1_10 : BIT;       -- o_mul1 10
144
  SIGNAL o_mul1_11 : BIT;       -- o_mul1 11
145
  SIGNAL o_mul1_12 : BIT;       -- o_mul1 12
146
  SIGNAL o_mul1_13 : BIT;       -- o_mul1 13
147
  SIGNAL o_mul1_14 : BIT;       -- o_mul1 14
148
  SIGNAL o_mul1_15 : BIT;       -- o_mul1 15
149
  SIGNAL o_mul2_0 : BIT;        -- o_mul2 0
150
  SIGNAL o_mul2_1 : BIT;        -- o_mul2 1
151
  SIGNAL o_mul2_2 : BIT;        -- o_mul2 2
152
  SIGNAL o_mul2_3 : BIT;        -- o_mul2 3
153
  SIGNAL o_mul2_4 : BIT;        -- o_mul2 4
154
  SIGNAL o_mul2_5 : BIT;        -- o_mul2 5
155
  SIGNAL o_mul2_6 : BIT;        -- o_mul2 6
156
  SIGNAL o_mul2_7 : BIT;        -- o_mul2 7
157
  SIGNAL o_mul2_8 : BIT;        -- o_mul2 8
158
  SIGNAL o_mul2_9 : BIT;        -- o_mul2 9
159
  SIGNAL o_mul2_10 : BIT;       -- o_mul2 10
160
  SIGNAL o_mul2_11 : BIT;       -- o_mul2 11
161
  SIGNAL o_mul2_12 : BIT;       -- o_mul2 12
162
  SIGNAL o_mul2_13 : BIT;       -- o_mul2 13
163
  SIGNAL o_mul2_14 : BIT;       -- o_mul2 14
164
  SIGNAL o_mul2_15 : BIT;       -- o_mul2 15
165
  SIGNAL o_mul3_0 : BIT;        -- o_mul3 0
166
  SIGNAL o_mul3_1 : BIT;        -- o_mul3 1
167
  SIGNAL o_mul3_2 : BIT;        -- o_mul3 2
168
  SIGNAL o_mul3_3 : BIT;        -- o_mul3 3
169
  SIGNAL o_mul3_4 : BIT;        -- o_mul3 4
170
  SIGNAL o_mul3_5 : BIT;        -- o_mul3 5
171
  SIGNAL o_mul3_6 : BIT;        -- o_mul3 6
172
  SIGNAL o_mul3_7 : BIT;        -- o_mul3 7
173
  SIGNAL o_mul3_8 : BIT;        -- o_mul3 8
174
  SIGNAL o_mul3_9 : BIT;        -- o_mul3 9
175
  SIGNAL o_mul3_10 : BIT;       -- o_mul3 10
176
  SIGNAL o_mul3_11 : BIT;       -- o_mul3 11
177
  SIGNAL o_mul3_12 : BIT;       -- o_mul3 12
178
  SIGNAL o_mul3_13 : BIT;       -- o_mul3 13
179
  SIGNAL o_mul3_14 : BIT;       -- o_mul3 14
180
  SIGNAL o_mul3_15 : BIT;       -- o_mul3 15
181
  SIGNAL o_mul4_0 : BIT;        -- o_mul4 0
182
  SIGNAL o_mul4_1 : BIT;        -- o_mul4 1
183
  SIGNAL o_mul4_2 : BIT;        -- o_mul4 2
184
  SIGNAL o_mul4_3 : BIT;        -- o_mul4 3
185
  SIGNAL o_mul4_4 : BIT;        -- o_mul4 4
186
  SIGNAL o_mul4_5 : BIT;        -- o_mul4 5
187
  SIGNAL o_mul4_6 : BIT;        -- o_mul4 6
188
  SIGNAL o_mul4_7 : BIT;        -- o_mul4 7
189
  SIGNAL o_mul4_8 : BIT;        -- o_mul4 8
190
  SIGNAL o_mul4_9 : BIT;        -- o_mul4 9
191
  SIGNAL o_mul4_10 : BIT;       -- o_mul4 10
192
  SIGNAL o_mul4_11 : BIT;       -- o_mul4 11
193
  SIGNAL o_mul4_12 : BIT;       -- o_mul4 12
194
  SIGNAL o_mul4_13 : BIT;       -- o_mul4 13
195
  SIGNAL o_mul4_14 : BIT;       -- o_mul4 14
196
  SIGNAL o_mul4_15 : BIT;       -- o_mul4 15
197
  SIGNAL o_xr1_0 : BIT; -- o_xr1 0
198
  SIGNAL o_xr1_1 : BIT; -- o_xr1 1
199
  SIGNAL o_xr1_2 : BIT; -- o_xr1 2
200
  SIGNAL o_xr1_3 : BIT; -- o_xr1 3
201
  SIGNAL o_xr1_4 : BIT; -- o_xr1 4
202
  SIGNAL o_xr1_5 : BIT; -- o_xr1 5
203
  SIGNAL o_xr1_6 : BIT; -- o_xr1 6
204
  SIGNAL o_xr1_7 : BIT; -- o_xr1 7
205
  SIGNAL o_xr1_8 : BIT; -- o_xr1 8
206
  SIGNAL o_xr1_9 : BIT; -- o_xr1 9
207
  SIGNAL o_xr1_10 : BIT;        -- o_xr1 10
208
  SIGNAL o_xr1_11 : BIT;        -- o_xr1 11
209
  SIGNAL o_xr1_12 : BIT;        -- o_xr1 12
210
  SIGNAL o_xr1_13 : BIT;        -- o_xr1 13
211
  SIGNAL o_xr1_14 : BIT;        -- o_xr1 14
212
  SIGNAL o_xr1_15 : BIT;        -- o_xr1 15
213
  SIGNAL o_xr2_0 : BIT; -- o_xr2 0
214
  SIGNAL o_xr2_1 : BIT; -- o_xr2 1
215
  SIGNAL o_xr2_2 : BIT; -- o_xr2 2
216
  SIGNAL o_xr2_3 : BIT; -- o_xr2 3
217
  SIGNAL o_xr2_4 : BIT; -- o_xr2 4
218
  SIGNAL o_xr2_5 : BIT; -- o_xr2 5
219
  SIGNAL o_xr2_6 : BIT; -- o_xr2 6
220
  SIGNAL o_xr2_7 : BIT; -- o_xr2 7
221
  SIGNAL o_xr2_8 : BIT; -- o_xr2 8
222
  SIGNAL o_xr2_9 : BIT; -- o_xr2 9
223
  SIGNAL o_xr2_10 : BIT;        -- o_xr2 10
224
  SIGNAL o_xr2_11 : BIT;        -- o_xr2 11
225
  SIGNAL o_xr2_12 : BIT;        -- o_xr2 12
226
  SIGNAL o_xr2_13 : BIT;        -- o_xr2 13
227
  SIGNAL o_xr2_14 : BIT;        -- o_xr2 14
228
  SIGNAL o_xr2_15 : BIT;        -- o_xr2 15
229
 
230
BEGIN
231
 
232
  mul1 : sm16plus1mul_glopf
233
    PORT MAP (
234
    vss => vss,
235
    vdd => vdd,
236
    mulout => o_mul1_0& o_mul1_1& o_mul1_2& o_mul1_3& o_mul1_4& o_mul1_5& o_mul1_6& o_mul1_7& o_mul1_8& o_mul1_9& o_mul1_10& o_mul1_11& o_mul1_12& o_mul1_13& o_mul1_14& o_mul1_15,
237
    clr => reset,
238
    en => en(1),
239
    in2 => z1(0)& z1(1)& z1(2)& z1(3)& z1(4)& z1(5)& z1(6)& z1(7)& z1(8)& z1(9)& z1(10)& z1(11)& z1(12)& z1(13)& z1(14)& z1(15),
240
    in1 => x1(0)& x1(1)& x1(2)& x1(3)& x1(4)& x1(5)& x1(6)& x1(7)& x1(8)& x1(9)& x1(10)& x1(11)& x1(12)& x1(13)& x1(14)& x1(15));
241
  add1 : sm16adder_glopf
242
    PORT MAP (
243
    vss => vss,
244
    vdd => vdd,
245
    s => o_add1_0& o_add1_1& o_add1_2& o_add1_3& o_add1_4& o_add1_5& o_add1_6& o_add1_7& o_add1_8& o_add1_9& o_add1_10& o_add1_11& o_add1_12& o_add1_13& o_add1_14& o_add1_15,
246
    clr => reset,
247
    en => en(1),
248
    b => z2(0)& z2(1)& z2(2)& z2(3)& z2(4)& z2(5)& z2(6)& z2(7)& z2(8)& z2(9)& z2(10)& z2(11)& z2(12)& z2(13)& z2(14)& z2(15),
249
    a => x2(0)& x2(1)& x2(2)& x2(3)& x2(4)& x2(5)& x2(6)& x2(7)& x2(8)& x2(9)& x2(10)& x2(11)& x2(12)& x2(13)& x2(14)& x2(15));
250
  add2 : sm16adder_glopf
251
    PORT MAP (
252
    vss => vss,
253
    vdd => vdd,
254
    s => o_add2_0& o_add2_1& o_add2_2& o_add2_3& o_add2_4& o_add2_5& o_add2_6& o_add2_7& o_add2_8& o_add2_9& o_add2_10& o_add2_11& o_add2_12& o_add2_13& o_add2_14& o_add2_15,
255
    clr => reset,
256
    en => en(1),
257
    b => z3(0)& z3(1)& z3(2)& z3(3)& z3(4)& z3(5)& z3(6)& z3(7)& z3(8)& z3(9)& z3(10)& z3(11)& z3(12)& z3(13)& z3(14)& z3(15),
258
    a => x3(0)& x3(1)& x3(2)& x3(3)& x3(4)& x3(5)& x3(6)& x3(7)& x3(8)& x3(9)& x3(10)& x3(11)& x3(12)& x3(13)& x3(14)& x3(15));
259
  mul2 : sm16plus1mul_glopf
260
    PORT MAP (
261
    vss => vss,
262
    vdd => vdd,
263
    mulout => o_mul2_0& o_mul2_1& o_mul2_2& o_mul2_3& o_mul2_4& o_mul2_5& o_mul2_6& o_mul2_7& o_mul2_8& o_mul2_9& o_mul2_10& o_mul2_11& o_mul2_12& o_mul2_13& o_mul2_14& o_mul2_15,
264
    clr => reset,
265
    en => en(1),
266
    in2 => z4(0)& z4(1)& z4(2)& z4(3)& z4(4)& z4(5)& z4(6)& z4(7)& z4(8)& z4(9)& z4(10)& z4(11)& z4(12)& z4(13)& z4(14)& z4(15),
267
    in1 => x4(0)& x4(1)& x4(2)& x4(3)& x4(4)& x4(5)& x4(6)& x4(7)& x4(8)& x4(9)& x4(10)& x4(11)& x4(12)& x4(13)& x4(14)& x4(15));
268
  xr1 : s16xor_glopf
269
    PORT MAP (
270
    vss => vss,
271
    vdd => vdd,
272
    q => o_xr1_0& o_xr1_1& o_xr1_2& o_xr1_3& o_xr1_4& o_xr1_5& o_xr1_6& o_xr1_7& o_xr1_8& o_xr1_9& o_xr1_10& o_xr1_11& o_xr1_12& o_xr1_13& o_xr1_14& o_xr1_15,
273
    clr => reset,
274
    en => en(2),
275
    b => o_add2_0& o_add2_1& o_add2_2& o_add2_3& o_add2_4& o_add2_5& o_add2_6& o_add2_7& o_add2_8& o_add2_9& o_add2_10& o_add2_11& o_add2_12& o_add2_13& o_add2_14& o_add2_15,
276
    a => o_mul1_0& o_mul1_1& o_mul1_2& o_mul1_3& o_mul1_4& o_mul1_5& o_mul1_6& o_mul1_7& o_mul1_8& o_mul1_9& o_mul1_10& o_mul1_11& o_mul1_12& o_mul1_13& o_mul1_14& o_mul1_15);
277
  xr2 : s16xor_glopf
278
    PORT MAP (
279
    vss => vss,
280
    vdd => vdd,
281
    q => o_xr2_0& o_xr2_1& o_xr2_2& o_xr2_3& o_xr2_4& o_xr2_5& o_xr2_6& o_xr2_7& o_xr2_8& o_xr2_9& o_xr2_10& o_xr2_11& o_xr2_12& o_xr2_13& o_xr2_14& o_xr2_15,
282
    clr => reset,
283
    en => en(2),
284
    b => o_mul2_0& o_mul2_1& o_mul2_2& o_mul2_3& o_mul2_4& o_mul2_5& o_mul2_6& o_mul2_7& o_mul2_8& o_mul2_9& o_mul2_10& o_mul2_11& o_mul2_12& o_mul2_13& o_mul2_14& o_mul2_15,
285
    a => o_add1_0& o_add1_1& o_add1_2& o_add1_3& o_add1_4& o_add1_5& o_add1_6& o_add1_7& o_add1_8& o_add1_9& o_add1_10& o_add1_11& o_add1_12& o_add1_13& o_add1_14& o_add1_15);
286
  mul3 : sm16plus1mul_glopf
287
    PORT MAP (
288
    vss => vss,
289
    vdd => vdd,
290
    mulout => o_mul3_0& o_mul3_1& o_mul3_2& o_mul3_3& o_mul3_4& o_mul3_5& o_mul3_6& o_mul3_7& o_mul3_8& o_mul3_9& o_mul3_10& o_mul3_11& o_mul3_12& o_mul3_13& o_mul3_14& o_mul3_15,
291
    clr => reset,
292
    en => en(3),
293
    in2 => z5(0)& z5(1)& z5(2)& z5(3)& z5(4)& z5(5)& z5(6)& z5(7)& z5(8)& z5(9)& z5(10)& z5(11)& z5(12)& z5(13)& z5(14)& z5(15),
294
    in1 => o_xr1_0& o_xr1_1& o_xr1_2& o_xr1_3& o_xr1_4& o_xr1_5& o_xr1_6& o_xr1_7& o_xr1_8& o_xr1_9& o_xr1_10& o_xr1_11& o_xr1_12& o_xr1_13& o_xr1_14& o_xr1_15);
295
  add3 : sm16adder_glopf
296
    PORT MAP (
297
    vss => vss,
298
    vdd => vdd,
299
    s => o_add3_0& o_add3_1& o_add3_2& o_add3_3& o_add3_4& o_add3_5& o_add3_6& o_add3_7& o_add3_8& o_add3_9& o_add3_10& o_add3_11& o_add3_12& o_add3_13& o_add3_14& o_add3_15,
300
    clr => reset,
301
    en => en(4),
302
    b => o_xr2_0& o_xr2_1& o_xr2_2& o_xr2_3& o_xr2_4& o_xr2_5& o_xr2_6& o_xr2_7& o_xr2_8& o_xr2_9& o_xr2_10& o_xr2_11& o_xr2_12& o_xr2_13& o_xr2_14& o_xr2_15,
303
    a => o_mul3_0& o_mul3_1& o_mul3_2& o_mul3_3& o_mul3_4& o_mul3_5& o_mul3_6& o_mul3_7& o_mul3_8& o_mul3_9& o_mul3_10& o_mul3_11& o_mul3_12& o_mul3_13& o_mul3_14& o_mul3_15);
304
  mul4 : sm16plus1mul_glopf
305
    PORT MAP (
306
    vss => vss,
307
    vdd => vdd,
308
    mulout => o_mul4_0& o_mul4_1& o_mul4_2& o_mul4_3& o_mul4_4& o_mul4_5& o_mul4_6& o_mul4_7& o_mul4_8& o_mul4_9& o_mul4_10& o_mul4_11& o_mul4_12& o_mul4_13& o_mul4_14& o_mul4_15,
309
    clr => reset,
310
    en => en(5),
311
    in2 => z6(0)& z6(1)& z6(2)& z6(3)& z6(4)& z6(5)& z6(6)& z6(7)& z6(8)& z6(9)& z6(10)& z6(11)& z6(12)& z6(13)& z6(14)& z6(15),
312
    in1 => o_add3_0& o_add3_1& o_add3_2& o_add3_3& o_add3_4& o_add3_5& o_add3_6& o_add3_7& o_add3_8& o_add3_9& o_add3_10& o_add3_11& o_add3_12& o_add3_13& o_add3_14& o_add3_15);
313
  add4 : sm16adder_glopf
314
    PORT MAP (
315
    vss => vss,
316
    vdd => vdd,
317
    s => o_add4_0& o_add4_1& o_add4_2& o_add4_3& o_add4_4& o_add4_5& o_add4_6& o_add4_7& o_add4_8& o_add4_9& o_add4_10& o_add4_11& o_add4_12& o_add4_13& o_add4_14& o_add4_15,
318
    clr => reset,
319
    en => en(6),
320
    b => o_mul4_0& o_mul4_1& o_mul4_2& o_mul4_3& o_mul4_4& o_mul4_5& o_mul4_6& o_mul4_7& o_mul4_8& o_mul4_9& o_mul4_10& o_mul4_11& o_mul4_12& o_mul4_13& o_mul4_14& o_mul4_15,
321
    a => o_mul3_0& o_mul3_1& o_mul3_2& o_mul3_3& o_mul3_4& o_mul3_5& o_mul3_6& o_mul3_7& o_mul3_8& o_mul3_9& o_mul3_10& o_mul3_11& o_mul3_12& o_mul3_13& o_mul3_14& o_mul3_15);
322
  xr3 : s16xor_glopf
323
    PORT MAP (
324
    vss => vss,
325
    vdd => vdd,
326
    q => y1(0)& y1(1)& y1(2)& y1(3)& y1(4)& y1(5)& y1(6)& y1(7)& y1(8)& y1(9)& y1(10)& y1(11)& y1(12)& y1(13)& y1(14)& y1(15),
327
    clr => reset,
328
    en => en(7),
329
    b => o_mul4_0& o_mul4_1& o_mul4_2& o_mul4_3& o_mul4_4& o_mul4_5& o_mul4_6& o_mul4_7& o_mul4_8& o_mul4_9& o_mul4_10& o_mul4_11& o_mul4_12& o_mul4_13& o_mul4_14& o_mul4_15,
330
    a => o_mul1_0& o_mul1_1& o_mul1_2& o_mul1_3& o_mul1_4& o_mul1_5& o_mul1_6& o_mul1_7& o_mul1_8& o_mul1_9& o_mul1_10& o_mul1_11& o_mul1_12& o_mul1_13& o_mul1_14& o_mul1_15);
331
  xr4 : s16xor_glopf
332
    PORT MAP (
333
    vss => vss,
334
    vdd => vdd,
335
    q => y2(0)& y2(1)& y2(2)& y2(3)& y2(4)& y2(5)& y2(6)& y2(7)& y2(8)& y2(9)& y2(10)& y2(11)& y2(12)& y2(13)& y2(14)& y2(15),
336
    clr => reset,
337
    en => en(7),
338
    b => o_mul4_0& o_mul4_1& o_mul4_2& o_mul4_3& o_mul4_4& o_mul4_5& o_mul4_6& o_mul4_7& o_mul4_8& o_mul4_9& o_mul4_10& o_mul4_11& o_mul4_12& o_mul4_13& o_mul4_14& o_mul4_15,
339
    a => o_add2_0& o_add2_1& o_add2_2& o_add2_3& o_add2_4& o_add2_5& o_add2_6& o_add2_7& o_add2_8& o_add2_9& o_add2_10& o_add2_11& o_add2_12& o_add2_13& o_add2_14& o_add2_15);
340
  xr5 : s16xor_glopf
341
    PORT MAP (
342
    vss => vss,
343
    vdd => vdd,
344
    q => y3(0)& y3(1)& y3(2)& y3(3)& y3(4)& y3(5)& y3(6)& y3(7)& y3(8)& y3(9)& y3(10)& y3(11)& y3(12)& y3(13)& y3(14)& y3(15),
345
    clr => reset,
346
    en => en(7),
347
    b => o_add4_0& o_add4_1& o_add4_2& o_add4_3& o_add4_4& o_add4_5& o_add4_6& o_add4_7& o_add4_8& o_add4_9& o_add4_10& o_add4_11& o_add4_12& o_add4_13& o_add4_14& o_add4_15,
348
    a => o_add1_0& o_add1_1& o_add1_2& o_add1_3& o_add1_4& o_add1_5& o_add1_6& o_add1_7& o_add1_8& o_add1_9& o_add1_10& o_add1_11& o_add1_12& o_add1_13& o_add1_14& o_add1_15);
349
  xr6 : s16xor_glopf
350
    PORT MAP (
351
    vss => vss,
352
    vdd => vdd,
353
    q => y4(0)& y4(1)& y4(2)& y4(3)& y4(4)& y4(5)& y4(6)& y4(7)& y4(8)& y4(9)& y4(10)& y4(11)& y4(12)& y4(13)& y4(14)& y4(15),
354
    clr => reset,
355
    en => en(7),
356
    b => o_add4_0& o_add4_1& o_add4_2& o_add4_3& o_add4_4& o_add4_5& o_add4_6& o_add4_7& o_add4_8& o_add4_9& o_add4_10& o_add4_11& o_add4_12& o_add4_13& o_add4_14& o_add4_15,
357
    a => o_mul2_0& o_mul2_1& o_mul2_2& o_mul2_3& o_mul2_4& o_mul2_5& o_mul2_6& o_mul2_7& o_mul2_8& o_mul2_9& o_mul2_10& o_mul2_11& o_mul2_12& o_mul2_13& o_mul2_14& o_mul2_15);
358
 
359
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.