OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [trunk/] [idea_machine/] [leftshifter.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `leftshifter`
2
--              date : Mon Sep 10 08:44:01 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY leftshifter IS
8
  PORT (
9
  p : in BIT_VECTOR (16 DOWNTO 0);      -- p
10
  q : in BIT_VECTOR (15 DOWNTO 0);      -- q
11
  r0 : out BIT_VECTOR (31 DOWNTO 0);    -- r0
12
  r1 : out BIT_VECTOR (31 DOWNTO 0);    -- r1
13
  r2 : out BIT_VECTOR (31 DOWNTO 0);    -- r2
14
  r3 : out BIT_VECTOR (31 DOWNTO 0);    -- r3
15
  r4 : out BIT_VECTOR (31 DOWNTO 0);    -- r4
16
  r5 : out BIT_VECTOR (31 DOWNTO 0);    -- r5
17
  r6 : out BIT_VECTOR (31 DOWNTO 0);    -- r6
18
  r7 : out BIT_VECTOR (31 DOWNTO 0);    -- r7
19
  r8 : out BIT_VECTOR (31 DOWNTO 0);    -- r8
20
  r9 : out BIT_VECTOR (31 DOWNTO 0);    -- r9
21
  r10 : out BIT_VECTOR (31 DOWNTO 0);   -- r10
22
  r11 : out BIT_VECTOR (31 DOWNTO 0);   -- r11
23
  r12 : out BIT_VECTOR (31 DOWNTO 0);   -- r12
24
  r13 : out BIT_VECTOR (31 DOWNTO 0);   -- r13
25
  r14 : out BIT_VECTOR (31 DOWNTO 0);   -- r14
26
  r15 : out BIT_VECTOR (31 DOWNTO 0);   -- r15
27
  r16 : out BIT_VECTOR (31 DOWNTO 0);   -- r16
28
  vdd : in BIT; -- vdd
29
  vss : in BIT  -- vss
30
  );
31
END leftshifter;
32
 
33
-- Architecture Declaration
34
 
35
ARCHITECTURE VST OF leftshifter IS
36
  COMPONENT a2_x2
37
    port (
38
    i0 : in BIT;        -- i0
39
    i1 : in BIT;        -- i1
40
    q : out BIT;        -- q
41
    vdd : in BIT;       -- vdd
42
    vss : in BIT        -- vss
43
    );
44
  END COMPONENT;
45
 
46
  COMPONENT zero_x0
47
    port (
48
    nq : out BIT;       -- nq
49
    vdd : in BIT;       -- vdd
50
    vss : in BIT        -- vss
51
    );
52
  END COMPONENT;
53
 
54
 
55
BEGIN
56
 
57
  r16_0 : zero_x0
58
    PORT MAP (
59
    vss => vss,
60
    vdd => vdd,
61
    nq => r16(0));
62
  r16_1 : zero_x0
63
    PORT MAP (
64
    vss => vss,
65
    vdd => vdd,
66
    nq => r16(1));
67
  r16_2 : zero_x0
68
    PORT MAP (
69
    vss => vss,
70
    vdd => vdd,
71
    nq => r16(2));
72
  r16_3 : zero_x0
73
    PORT MAP (
74
    vss => vss,
75
    vdd => vdd,
76
    nq => r16(3));
77
  r16_4 : zero_x0
78
    PORT MAP (
79
    vss => vss,
80
    vdd => vdd,
81
    nq => r16(4));
82
  r16_5 : zero_x0
83
    PORT MAP (
84
    vss => vss,
85
    vdd => vdd,
86
    nq => r16(5));
87
  r16_6 : zero_x0
88
    PORT MAP (
89
    vss => vss,
90
    vdd => vdd,
91
    nq => r16(6));
92
  r16_7 : zero_x0
93
    PORT MAP (
94
    vss => vss,
95
    vdd => vdd,
96
    nq => r16(7));
97
  r16_8 : zero_x0
98
    PORT MAP (
99
    vss => vss,
100
    vdd => vdd,
101
    nq => r16(8));
102
  r16_9 : zero_x0
103
    PORT MAP (
104
    vss => vss,
105
    vdd => vdd,
106
    nq => r16(9));
107
  r16_10 : zero_x0
108
    PORT MAP (
109
    vss => vss,
110
    vdd => vdd,
111
    nq => r16(10));
112
  r16_11 : zero_x0
113
    PORT MAP (
114
    vss => vss,
115
    vdd => vdd,
116
    nq => r16(11));
117
  r16_12 : zero_x0
118
    PORT MAP (
119
    vss => vss,
120
    vdd => vdd,
121
    nq => r16(12));
122
  r16_13 : zero_x0
123
    PORT MAP (
124
    vss => vss,
125
    vdd => vdd,
126
    nq => r16(13));
127
  r16_14 : zero_x0
128
    PORT MAP (
129
    vss => vss,
130
    vdd => vdd,
131
    nq => r16(14));
132
  r16_15 : zero_x0
133
    PORT MAP (
134
    vss => vss,
135
    vdd => vdd,
136
    nq => r16(15));
137
  r16_16 : zero_x0
138
    PORT MAP (
139
    vss => vss,
140
    vdd => vdd,
141
    nq => r16(16));
142
  r16_17 : zero_x0
143
    PORT MAP (
144
    vss => vss,
145
    vdd => vdd,
146
    nq => r16(17));
147
  r16_18 : zero_x0
148
    PORT MAP (
149
    vss => vss,
150
    vdd => vdd,
151
    nq => r16(18));
152
  r16_19 : zero_x0
153
    PORT MAP (
154
    vss => vss,
155
    vdd => vdd,
156
    nq => r16(19));
157
  r16_20 : zero_x0
158
    PORT MAP (
159
    vss => vss,
160
    vdd => vdd,
161
    nq => r16(20));
162
  r16_21 : zero_x0
163
    PORT MAP (
164
    vss => vss,
165
    vdd => vdd,
166
    nq => r16(21));
167
  r16_22 : zero_x0
168
    PORT MAP (
169
    vss => vss,
170
    vdd => vdd,
171
    nq => r16(22));
172
  r16_23 : zero_x0
173
    PORT MAP (
174
    vss => vss,
175
    vdd => vdd,
176
    nq => r16(23));
177
  r16_24 : zero_x0
178
    PORT MAP (
179
    vss => vss,
180
    vdd => vdd,
181
    nq => r16(24));
182
  r16_25 : zero_x0
183
    PORT MAP (
184
    vss => vss,
185
    vdd => vdd,
186
    nq => r16(25));
187
  r16_26 : zero_x0
188
    PORT MAP (
189
    vss => vss,
190
    vdd => vdd,
191
    nq => r16(26));
192
  r16_27 : zero_x0
193
    PORT MAP (
194
    vss => vss,
195
    vdd => vdd,
196
    nq => r16(27));
197
  r16_28 : zero_x0
198
    PORT MAP (
199
    vss => vss,
200
    vdd => vdd,
201
    nq => r16(28));
202
  r16_29 : zero_x0
203
    PORT MAP (
204
    vss => vss,
205
    vdd => vdd,
206
    nq => r16(29));
207
  r16_30 : zero_x0
208
    PORT MAP (
209
    vss => vss,
210
    vdd => vdd,
211
    nq => r16(30));
212
  r16_31 : zero_x0
213
    PORT MAP (
214
    vss => vss,
215
    vdd => vdd,
216
    nq => r16(31));
217
  r15_0 : zero_x0
218
    PORT MAP (
219
    vss => vss,
220
    vdd => vdd,
221
    nq => r15(0));
222
  r15_1 : zero_x0
223
    PORT MAP (
224
    vss => vss,
225
    vdd => vdd,
226
    nq => r15(1));
227
  r15_2 : zero_x0
228
    PORT MAP (
229
    vss => vss,
230
    vdd => vdd,
231
    nq => r15(2));
232
  r15_3 : zero_x0
233
    PORT MAP (
234
    vss => vss,
235
    vdd => vdd,
236
    nq => r15(3));
237
  r15_4 : zero_x0
238
    PORT MAP (
239
    vss => vss,
240
    vdd => vdd,
241
    nq => r15(4));
242
  r15_5 : zero_x0
243
    PORT MAP (
244
    vss => vss,
245
    vdd => vdd,
246
    nq => r15(5));
247
  r15_6 : zero_x0
248
    PORT MAP (
249
    vss => vss,
250
    vdd => vdd,
251
    nq => r15(6));
252
  r15_7 : zero_x0
253
    PORT MAP (
254
    vss => vss,
255
    vdd => vdd,
256
    nq => r15(7));
257
  r15_8 : zero_x0
258
    PORT MAP (
259
    vss => vss,
260
    vdd => vdd,
261
    nq => r15(8));
262
  r15_9 : zero_x0
263
    PORT MAP (
264
    vss => vss,
265
    vdd => vdd,
266
    nq => r15(9));
267
  r15_10 : zero_x0
268
    PORT MAP (
269
    vss => vss,
270
    vdd => vdd,
271
    nq => r15(10));
272
  r15_11 : zero_x0
273
    PORT MAP (
274
    vss => vss,
275
    vdd => vdd,
276
    nq => r15(11));
277
  r15_12 : zero_x0
278
    PORT MAP (
279
    vss => vss,
280
    vdd => vdd,
281
    nq => r15(12));
282
  r15_13 : zero_x0
283
    PORT MAP (
284
    vss => vss,
285
    vdd => vdd,
286
    nq => r15(13));
287
  r15_14 : zero_x0
288
    PORT MAP (
289
    vss => vss,
290
    vdd => vdd,
291
    nq => r15(14));
292
  r15_15 : a2_x2
293
    PORT MAP (
294
    vss => vss,
295
    vdd => vdd,
296
    q => r15(15),
297
    i1 => p(0),
298
    i0 => q(15));
299
  r15_16 : a2_x2
300
    PORT MAP (
301
    vss => vss,
302
    vdd => vdd,
303
    q => r15(16),
304
    i1 => p(1),
305
    i0 => q(15));
306
  r15_17 : a2_x2
307
    PORT MAP (
308
    vss => vss,
309
    vdd => vdd,
310
    q => r15(17),
311
    i1 => p(2),
312
    i0 => q(15));
313
  r15_18 : a2_x2
314
    PORT MAP (
315
    vss => vss,
316
    vdd => vdd,
317
    q => r15(18),
318
    i1 => p(3),
319
    i0 => q(15));
320
  r15_19 : a2_x2
321
    PORT MAP (
322
    vss => vss,
323
    vdd => vdd,
324
    q => r15(19),
325
    i1 => p(4),
326
    i0 => q(15));
327
  r15_20 : a2_x2
328
    PORT MAP (
329
    vss => vss,
330
    vdd => vdd,
331
    q => r15(20),
332
    i1 => p(5),
333
    i0 => q(15));
334
  r15_21 : a2_x2
335
    PORT MAP (
336
    vss => vss,
337
    vdd => vdd,
338
    q => r15(21),
339
    i1 => p(6),
340
    i0 => q(15));
341
  r15_22 : a2_x2
342
    PORT MAP (
343
    vss => vss,
344
    vdd => vdd,
345
    q => r15(22),
346
    i1 => p(7),
347
    i0 => q(15));
348
  r15_23 : a2_x2
349
    PORT MAP (
350
    vss => vss,
351
    vdd => vdd,
352
    q => r15(23),
353
    i1 => p(8),
354
    i0 => q(15));
355
  r15_24 : a2_x2
356
    PORT MAP (
357
    vss => vss,
358
    vdd => vdd,
359
    q => r15(24),
360
    i1 => p(9),
361
    i0 => q(15));
362
  r15_25 : a2_x2
363
    PORT MAP (
364
    vss => vss,
365
    vdd => vdd,
366
    q => r15(25),
367
    i1 => p(10),
368
    i0 => q(15));
369
  r15_26 : a2_x2
370
    PORT MAP (
371
    vss => vss,
372
    vdd => vdd,
373
    q => r15(26),
374
    i1 => p(11),
375
    i0 => q(15));
376
  r15_27 : a2_x2
377
    PORT MAP (
378
    vss => vss,
379
    vdd => vdd,
380
    q => r15(27),
381
    i1 => p(12),
382
    i0 => q(15));
383
  r15_28 : a2_x2
384
    PORT MAP (
385
    vss => vss,
386
    vdd => vdd,
387
    q => r15(28),
388
    i1 => p(13),
389
    i0 => q(15));
390
  r15_29 : a2_x2
391
    PORT MAP (
392
    vss => vss,
393
    vdd => vdd,
394
    q => r15(29),
395
    i1 => p(14),
396
    i0 => q(15));
397
  r15_30 : a2_x2
398
    PORT MAP (
399
    vss => vss,
400
    vdd => vdd,
401
    q => r15(30),
402
    i1 => p(15),
403
    i0 => q(15));
404
  r15_31 : a2_x2
405
    PORT MAP (
406
    vss => vss,
407
    vdd => vdd,
408
    q => r15(31),
409
    i1 => p(16),
410
    i0 => q(15));
411
  r14_0 : zero_x0
412
    PORT MAP (
413
    vss => vss,
414
    vdd => vdd,
415
    nq => r14(0));
416
  r14_1 : zero_x0
417
    PORT MAP (
418
    vss => vss,
419
    vdd => vdd,
420
    nq => r14(1));
421
  r14_2 : zero_x0
422
    PORT MAP (
423
    vss => vss,
424
    vdd => vdd,
425
    nq => r14(2));
426
  r14_3 : zero_x0
427
    PORT MAP (
428
    vss => vss,
429
    vdd => vdd,
430
    nq => r14(3));
431
  r14_4 : zero_x0
432
    PORT MAP (
433
    vss => vss,
434
    vdd => vdd,
435
    nq => r14(4));
436
  r14_5 : zero_x0
437
    PORT MAP (
438
    vss => vss,
439
    vdd => vdd,
440
    nq => r14(5));
441
  r14_6 : zero_x0
442
    PORT MAP (
443
    vss => vss,
444
    vdd => vdd,
445
    nq => r14(6));
446
  r14_7 : zero_x0
447
    PORT MAP (
448
    vss => vss,
449
    vdd => vdd,
450
    nq => r14(7));
451
  r14_8 : zero_x0
452
    PORT MAP (
453
    vss => vss,
454
    vdd => vdd,
455
    nq => r14(8));
456
  r14_9 : zero_x0
457
    PORT MAP (
458
    vss => vss,
459
    vdd => vdd,
460
    nq => r14(9));
461
  r14_10 : zero_x0
462
    PORT MAP (
463
    vss => vss,
464
    vdd => vdd,
465
    nq => r14(10));
466
  r14_11 : zero_x0
467
    PORT MAP (
468
    vss => vss,
469
    vdd => vdd,
470
    nq => r14(11));
471
  r14_12 : zero_x0
472
    PORT MAP (
473
    vss => vss,
474
    vdd => vdd,
475
    nq => r14(12));
476
  r14_13 : zero_x0
477
    PORT MAP (
478
    vss => vss,
479
    vdd => vdd,
480
    nq => r14(13));
481
  r14_14 : a2_x2
482
    PORT MAP (
483
    vss => vss,
484
    vdd => vdd,
485
    q => r14(14),
486
    i1 => p(0),
487
    i0 => q(14));
488
  r14_15 : a2_x2
489
    PORT MAP (
490
    vss => vss,
491
    vdd => vdd,
492
    q => r14(15),
493
    i1 => p(1),
494
    i0 => q(14));
495
  r14_16 : a2_x2
496
    PORT MAP (
497
    vss => vss,
498
    vdd => vdd,
499
    q => r14(16),
500
    i1 => p(2),
501
    i0 => q(14));
502
  r14_17 : a2_x2
503
    PORT MAP (
504
    vss => vss,
505
    vdd => vdd,
506
    q => r14(17),
507
    i1 => p(3),
508
    i0 => q(14));
509
  r14_18 : a2_x2
510
    PORT MAP (
511
    vss => vss,
512
    vdd => vdd,
513
    q => r14(18),
514
    i1 => p(4),
515
    i0 => q(14));
516
  r14_19 : a2_x2
517
    PORT MAP (
518
    vss => vss,
519
    vdd => vdd,
520
    q => r14(19),
521
    i1 => p(5),
522
    i0 => q(14));
523
  r14_20 : a2_x2
524
    PORT MAP (
525
    vss => vss,
526
    vdd => vdd,
527
    q => r14(20),
528
    i1 => p(6),
529
    i0 => q(14));
530
  r14_21 : a2_x2
531
    PORT MAP (
532
    vss => vss,
533
    vdd => vdd,
534
    q => r14(21),
535
    i1 => p(7),
536
    i0 => q(14));
537
  r14_22 : a2_x2
538
    PORT MAP (
539
    vss => vss,
540
    vdd => vdd,
541
    q => r14(22),
542
    i1 => p(8),
543
    i0 => q(14));
544
  r14_23 : a2_x2
545
    PORT MAP (
546
    vss => vss,
547
    vdd => vdd,
548
    q => r14(23),
549
    i1 => p(9),
550
    i0 => q(14));
551
  r14_24 : a2_x2
552
    PORT MAP (
553
    vss => vss,
554
    vdd => vdd,
555
    q => r14(24),
556
    i1 => p(10),
557
    i0 => q(14));
558
  r14_25 : a2_x2
559
    PORT MAP (
560
    vss => vss,
561
    vdd => vdd,
562
    q => r14(25),
563
    i1 => p(11),
564
    i0 => q(14));
565
  r14_26 : a2_x2
566
    PORT MAP (
567
    vss => vss,
568
    vdd => vdd,
569
    q => r14(26),
570
    i1 => p(12),
571
    i0 => q(14));
572
  r14_27 : a2_x2
573
    PORT MAP (
574
    vss => vss,
575
    vdd => vdd,
576
    q => r14(27),
577
    i1 => p(13),
578
    i0 => q(14));
579
  r14_28 : a2_x2
580
    PORT MAP (
581
    vss => vss,
582
    vdd => vdd,
583
    q => r14(28),
584
    i1 => p(14),
585
    i0 => q(14));
586
  r14_29 : a2_x2
587
    PORT MAP (
588
    vss => vss,
589
    vdd => vdd,
590
    q => r14(29),
591
    i1 => p(15),
592
    i0 => q(14));
593
  r14_30 : a2_x2
594
    PORT MAP (
595
    vss => vss,
596
    vdd => vdd,
597
    q => r14(30),
598
    i1 => p(16),
599
    i0 => q(14));
600
  r14_31 : zero_x0
601
    PORT MAP (
602
    vss => vss,
603
    vdd => vdd,
604
    nq => r14(31));
605
  r13_0 : zero_x0
606
    PORT MAP (
607
    vss => vss,
608
    vdd => vdd,
609
    nq => r13(0));
610
  r13_1 : zero_x0
611
    PORT MAP (
612
    vss => vss,
613
    vdd => vdd,
614
    nq => r13(1));
615
  r13_2 : zero_x0
616
    PORT MAP (
617
    vss => vss,
618
    vdd => vdd,
619
    nq => r13(2));
620
  r13_3 : zero_x0
621
    PORT MAP (
622
    vss => vss,
623
    vdd => vdd,
624
    nq => r13(3));
625
  r13_4 : zero_x0
626
    PORT MAP (
627
    vss => vss,
628
    vdd => vdd,
629
    nq => r13(4));
630
  r13_5 : zero_x0
631
    PORT MAP (
632
    vss => vss,
633
    vdd => vdd,
634
    nq => r13(5));
635
  r13_6 : zero_x0
636
    PORT MAP (
637
    vss => vss,
638
    vdd => vdd,
639
    nq => r13(6));
640
  r13_7 : zero_x0
641
    PORT MAP (
642
    vss => vss,
643
    vdd => vdd,
644
    nq => r13(7));
645
  r13_8 : zero_x0
646
    PORT MAP (
647
    vss => vss,
648
    vdd => vdd,
649
    nq => r13(8));
650
  r13_9 : zero_x0
651
    PORT MAP (
652
    vss => vss,
653
    vdd => vdd,
654
    nq => r13(9));
655
  r13_10 : zero_x0
656
    PORT MAP (
657
    vss => vss,
658
    vdd => vdd,
659
    nq => r13(10));
660
  r13_11 : zero_x0
661
    PORT MAP (
662
    vss => vss,
663
    vdd => vdd,
664
    nq => r13(11));
665
  r13_12 : zero_x0
666
    PORT MAP (
667
    vss => vss,
668
    vdd => vdd,
669
    nq => r13(12));
670
  r13_13 : a2_x2
671
    PORT MAP (
672
    vss => vss,
673
    vdd => vdd,
674
    q => r13(13),
675
    i1 => p(0),
676
    i0 => q(13));
677
  r13_14 : a2_x2
678
    PORT MAP (
679
    vss => vss,
680
    vdd => vdd,
681
    q => r13(14),
682
    i1 => p(1),
683
    i0 => q(13));
684
  r13_15 : a2_x2
685
    PORT MAP (
686
    vss => vss,
687
    vdd => vdd,
688
    q => r13(15),
689
    i1 => p(2),
690
    i0 => q(13));
691
  r13_16 : a2_x2
692
    PORT MAP (
693
    vss => vss,
694
    vdd => vdd,
695
    q => r13(16),
696
    i1 => p(3),
697
    i0 => q(13));
698
  r13_17 : a2_x2
699
    PORT MAP (
700
    vss => vss,
701
    vdd => vdd,
702
    q => r13(17),
703
    i1 => p(4),
704
    i0 => q(13));
705
  r13_18 : a2_x2
706
    PORT MAP (
707
    vss => vss,
708
    vdd => vdd,
709
    q => r13(18),
710
    i1 => p(5),
711
    i0 => q(13));
712
  r13_19 : a2_x2
713
    PORT MAP (
714
    vss => vss,
715
    vdd => vdd,
716
    q => r13(19),
717
    i1 => p(6),
718
    i0 => q(13));
719
  r13_20 : a2_x2
720
    PORT MAP (
721
    vss => vss,
722
    vdd => vdd,
723
    q => r13(20),
724
    i1 => p(7),
725
    i0 => q(13));
726
  r13_21 : a2_x2
727
    PORT MAP (
728
    vss => vss,
729
    vdd => vdd,
730
    q => r13(21),
731
    i1 => p(8),
732
    i0 => q(13));
733
  r13_22 : a2_x2
734
    PORT MAP (
735
    vss => vss,
736
    vdd => vdd,
737
    q => r13(22),
738
    i1 => p(9),
739
    i0 => q(13));
740
  r13_23 : a2_x2
741
    PORT MAP (
742
    vss => vss,
743
    vdd => vdd,
744
    q => r13(23),
745
    i1 => p(10),
746
    i0 => q(13));
747
  r13_24 : a2_x2
748
    PORT MAP (
749
    vss => vss,
750
    vdd => vdd,
751
    q => r13(24),
752
    i1 => p(11),
753
    i0 => q(13));
754
  r13_25 : a2_x2
755
    PORT MAP (
756
    vss => vss,
757
    vdd => vdd,
758
    q => r13(25),
759
    i1 => p(12),
760
    i0 => q(13));
761
  r13_26 : a2_x2
762
    PORT MAP (
763
    vss => vss,
764
    vdd => vdd,
765
    q => r13(26),
766
    i1 => p(13),
767
    i0 => q(13));
768
  r13_27 : a2_x2
769
    PORT MAP (
770
    vss => vss,
771
    vdd => vdd,
772
    q => r13(27),
773
    i1 => p(14),
774
    i0 => q(13));
775
  r13_28 : a2_x2
776
    PORT MAP (
777
    vss => vss,
778
    vdd => vdd,
779
    q => r13(28),
780
    i1 => p(15),
781
    i0 => q(13));
782
  r13_29 : a2_x2
783
    PORT MAP (
784
    vss => vss,
785
    vdd => vdd,
786
    q => r13(29),
787
    i1 => p(16),
788
    i0 => q(13));
789
  r13_30 : zero_x0
790
    PORT MAP (
791
    vss => vss,
792
    vdd => vdd,
793
    nq => r13(30));
794
  r13_31 : zero_x0
795
    PORT MAP (
796
    vss => vss,
797
    vdd => vdd,
798
    nq => r13(31));
799
  r12_0 : zero_x0
800
    PORT MAP (
801
    vss => vss,
802
    vdd => vdd,
803
    nq => r12(0));
804
  r12_1 : zero_x0
805
    PORT MAP (
806
    vss => vss,
807
    vdd => vdd,
808
    nq => r12(1));
809
  r12_2 : zero_x0
810
    PORT MAP (
811
    vss => vss,
812
    vdd => vdd,
813
    nq => r12(2));
814
  r12_3 : zero_x0
815
    PORT MAP (
816
    vss => vss,
817
    vdd => vdd,
818
    nq => r12(3));
819
  r12_4 : zero_x0
820
    PORT MAP (
821
    vss => vss,
822
    vdd => vdd,
823
    nq => r12(4));
824
  r12_5 : zero_x0
825
    PORT MAP (
826
    vss => vss,
827
    vdd => vdd,
828
    nq => r12(5));
829
  r12_6 : zero_x0
830
    PORT MAP (
831
    vss => vss,
832
    vdd => vdd,
833
    nq => r12(6));
834
  r12_7 : zero_x0
835
    PORT MAP (
836
    vss => vss,
837
    vdd => vdd,
838
    nq => r12(7));
839
  r12_8 : zero_x0
840
    PORT MAP (
841
    vss => vss,
842
    vdd => vdd,
843
    nq => r12(8));
844
  r12_9 : zero_x0
845
    PORT MAP (
846
    vss => vss,
847
    vdd => vdd,
848
    nq => r12(9));
849
  r12_10 : zero_x0
850
    PORT MAP (
851
    vss => vss,
852
    vdd => vdd,
853
    nq => r12(10));
854
  r12_11 : zero_x0
855
    PORT MAP (
856
    vss => vss,
857
    vdd => vdd,
858
    nq => r12(11));
859
  r12_12 : a2_x2
860
    PORT MAP (
861
    vss => vss,
862
    vdd => vdd,
863
    q => r12(12),
864
    i1 => p(0),
865
    i0 => q(12));
866
  r12_13 : a2_x2
867
    PORT MAP (
868
    vss => vss,
869
    vdd => vdd,
870
    q => r12(13),
871
    i1 => p(1),
872
    i0 => q(12));
873
  r12_14 : a2_x2
874
    PORT MAP (
875
    vss => vss,
876
    vdd => vdd,
877
    q => r12(14),
878
    i1 => p(2),
879
    i0 => q(12));
880
  r12_15 : a2_x2
881
    PORT MAP (
882
    vss => vss,
883
    vdd => vdd,
884
    q => r12(15),
885
    i1 => p(3),
886
    i0 => q(12));
887
  r12_16 : a2_x2
888
    PORT MAP (
889
    vss => vss,
890
    vdd => vdd,
891
    q => r12(16),
892
    i1 => p(4),
893
    i0 => q(12));
894
  r12_17 : a2_x2
895
    PORT MAP (
896
    vss => vss,
897
    vdd => vdd,
898
    q => r12(17),
899
    i1 => p(5),
900
    i0 => q(12));
901
  r12_18 : a2_x2
902
    PORT MAP (
903
    vss => vss,
904
    vdd => vdd,
905
    q => r12(18),
906
    i1 => p(6),
907
    i0 => q(12));
908
  r12_19 : a2_x2
909
    PORT MAP (
910
    vss => vss,
911
    vdd => vdd,
912
    q => r12(19),
913
    i1 => p(7),
914
    i0 => q(12));
915
  r12_20 : a2_x2
916
    PORT MAP (
917
    vss => vss,
918
    vdd => vdd,
919
    q => r12(20),
920
    i1 => p(8),
921
    i0 => q(12));
922
  r12_21 : a2_x2
923
    PORT MAP (
924
    vss => vss,
925
    vdd => vdd,
926
    q => r12(21),
927
    i1 => p(9),
928
    i0 => q(12));
929
  r12_22 : a2_x2
930
    PORT MAP (
931
    vss => vss,
932
    vdd => vdd,
933
    q => r12(22),
934
    i1 => p(10),
935
    i0 => q(12));
936
  r12_23 : a2_x2
937
    PORT MAP (
938
    vss => vss,
939
    vdd => vdd,
940
    q => r12(23),
941
    i1 => p(11),
942
    i0 => q(12));
943
  r12_24 : a2_x2
944
    PORT MAP (
945
    vss => vss,
946
    vdd => vdd,
947
    q => r12(24),
948
    i1 => p(12),
949
    i0 => q(12));
950
  r12_25 : a2_x2
951
    PORT MAP (
952
    vss => vss,
953
    vdd => vdd,
954
    q => r12(25),
955
    i1 => p(13),
956
    i0 => q(12));
957
  r12_26 : a2_x2
958
    PORT MAP (
959
    vss => vss,
960
    vdd => vdd,
961
    q => r12(26),
962
    i1 => p(14),
963
    i0 => q(12));
964
  r12_27 : a2_x2
965
    PORT MAP (
966
    vss => vss,
967
    vdd => vdd,
968
    q => r12(27),
969
    i1 => p(15),
970
    i0 => q(12));
971
  r12_28 : a2_x2
972
    PORT MAP (
973
    vss => vss,
974
    vdd => vdd,
975
    q => r12(28),
976
    i1 => p(16),
977
    i0 => q(12));
978
  r12_29 : zero_x0
979
    PORT MAP (
980
    vss => vss,
981
    vdd => vdd,
982
    nq => r12(29));
983
  r12_30 : zero_x0
984
    PORT MAP (
985
    vss => vss,
986
    vdd => vdd,
987
    nq => r12(30));
988
  r12_31 : zero_x0
989
    PORT MAP (
990
    vss => vss,
991
    vdd => vdd,
992
    nq => r12(31));
993
  r11_0 : zero_x0
994
    PORT MAP (
995
    vss => vss,
996
    vdd => vdd,
997
    nq => r11(0));
998
  r11_1 : zero_x0
999
    PORT MAP (
1000
    vss => vss,
1001
    vdd => vdd,
1002
    nq => r11(1));
1003
  r11_2 : zero_x0
1004
    PORT MAP (
1005
    vss => vss,
1006
    vdd => vdd,
1007
    nq => r11(2));
1008
  r11_3 : zero_x0
1009
    PORT MAP (
1010
    vss => vss,
1011
    vdd => vdd,
1012
    nq => r11(3));
1013
  r11_4 : zero_x0
1014
    PORT MAP (
1015
    vss => vss,
1016
    vdd => vdd,
1017
    nq => r11(4));
1018
  r11_5 : zero_x0
1019
    PORT MAP (
1020
    vss => vss,
1021
    vdd => vdd,
1022
    nq => r11(5));
1023
  r11_6 : zero_x0
1024
    PORT MAP (
1025
    vss => vss,
1026
    vdd => vdd,
1027
    nq => r11(6));
1028
  r11_7 : zero_x0
1029
    PORT MAP (
1030
    vss => vss,
1031
    vdd => vdd,
1032
    nq => r11(7));
1033
  r11_8 : zero_x0
1034
    PORT MAP (
1035
    vss => vss,
1036
    vdd => vdd,
1037
    nq => r11(8));
1038
  r11_9 : zero_x0
1039
    PORT MAP (
1040
    vss => vss,
1041
    vdd => vdd,
1042
    nq => r11(9));
1043
  r11_10 : zero_x0
1044
    PORT MAP (
1045
    vss => vss,
1046
    vdd => vdd,
1047
    nq => r11(10));
1048
  r11_11 : a2_x2
1049
    PORT MAP (
1050
    vss => vss,
1051
    vdd => vdd,
1052
    q => r11(11),
1053
    i1 => p(0),
1054
    i0 => q(11));
1055
  r11_12 : a2_x2
1056
    PORT MAP (
1057
    vss => vss,
1058
    vdd => vdd,
1059
    q => r11(12),
1060
    i1 => p(1),
1061
    i0 => q(11));
1062
  r11_13 : a2_x2
1063
    PORT MAP (
1064
    vss => vss,
1065
    vdd => vdd,
1066
    q => r11(13),
1067
    i1 => p(2),
1068
    i0 => q(11));
1069
  r11_14 : a2_x2
1070
    PORT MAP (
1071
    vss => vss,
1072
    vdd => vdd,
1073
    q => r11(14),
1074
    i1 => p(3),
1075
    i0 => q(11));
1076
  r11_15 : a2_x2
1077
    PORT MAP (
1078
    vss => vss,
1079
    vdd => vdd,
1080
    q => r11(15),
1081
    i1 => p(4),
1082
    i0 => q(11));
1083
  r11_16 : a2_x2
1084
    PORT MAP (
1085
    vss => vss,
1086
    vdd => vdd,
1087
    q => r11(16),
1088
    i1 => p(5),
1089
    i0 => q(11));
1090
  r11_17 : a2_x2
1091
    PORT MAP (
1092
    vss => vss,
1093
    vdd => vdd,
1094
    q => r11(17),
1095
    i1 => p(6),
1096
    i0 => q(11));
1097
  r11_18 : a2_x2
1098
    PORT MAP (
1099
    vss => vss,
1100
    vdd => vdd,
1101
    q => r11(18),
1102
    i1 => p(7),
1103
    i0 => q(11));
1104
  r11_19 : a2_x2
1105
    PORT MAP (
1106
    vss => vss,
1107
    vdd => vdd,
1108
    q => r11(19),
1109
    i1 => p(8),
1110
    i0 => q(11));
1111
  r11_20 : a2_x2
1112
    PORT MAP (
1113
    vss => vss,
1114
    vdd => vdd,
1115
    q => r11(20),
1116
    i1 => p(9),
1117
    i0 => q(11));
1118
  r11_21 : a2_x2
1119
    PORT MAP (
1120
    vss => vss,
1121
    vdd => vdd,
1122
    q => r11(21),
1123
    i1 => p(10),
1124
    i0 => q(11));
1125
  r11_22 : a2_x2
1126
    PORT MAP (
1127
    vss => vss,
1128
    vdd => vdd,
1129
    q => r11(22),
1130
    i1 => p(11),
1131
    i0 => q(11));
1132
  r11_23 : a2_x2
1133
    PORT MAP (
1134
    vss => vss,
1135
    vdd => vdd,
1136
    q => r11(23),
1137
    i1 => p(12),
1138
    i0 => q(11));
1139
  r11_24 : a2_x2
1140
    PORT MAP (
1141
    vss => vss,
1142
    vdd => vdd,
1143
    q => r11(24),
1144
    i1 => p(13),
1145
    i0 => q(11));
1146
  r11_25 : a2_x2
1147
    PORT MAP (
1148
    vss => vss,
1149
    vdd => vdd,
1150
    q => r11(25),
1151
    i1 => p(14),
1152
    i0 => q(11));
1153
  r11_26 : a2_x2
1154
    PORT MAP (
1155
    vss => vss,
1156
    vdd => vdd,
1157
    q => r11(26),
1158
    i1 => p(15),
1159
    i0 => q(11));
1160
  r11_27 : a2_x2
1161
    PORT MAP (
1162
    vss => vss,
1163
    vdd => vdd,
1164
    q => r11(27),
1165
    i1 => p(16),
1166
    i0 => q(11));
1167
  r11_28 : zero_x0
1168
    PORT MAP (
1169
    vss => vss,
1170
    vdd => vdd,
1171
    nq => r11(28));
1172
  r11_29 : zero_x0
1173
    PORT MAP (
1174
    vss => vss,
1175
    vdd => vdd,
1176
    nq => r11(29));
1177
  r11_30 : zero_x0
1178
    PORT MAP (
1179
    vss => vss,
1180
    vdd => vdd,
1181
    nq => r11(30));
1182
  r11_31 : zero_x0
1183
    PORT MAP (
1184
    vss => vss,
1185
    vdd => vdd,
1186
    nq => r11(31));
1187
  r10_0 : zero_x0
1188
    PORT MAP (
1189
    vss => vss,
1190
    vdd => vdd,
1191
    nq => r10(0));
1192
  r10_1 : zero_x0
1193
    PORT MAP (
1194
    vss => vss,
1195
    vdd => vdd,
1196
    nq => r10(1));
1197
  r10_2 : zero_x0
1198
    PORT MAP (
1199
    vss => vss,
1200
    vdd => vdd,
1201
    nq => r10(2));
1202
  r10_3 : zero_x0
1203
    PORT MAP (
1204
    vss => vss,
1205
    vdd => vdd,
1206
    nq => r10(3));
1207
  r10_4 : zero_x0
1208
    PORT MAP (
1209
    vss => vss,
1210
    vdd => vdd,
1211
    nq => r10(4));
1212
  r10_5 : zero_x0
1213
    PORT MAP (
1214
    vss => vss,
1215
    vdd => vdd,
1216
    nq => r10(5));
1217
  r10_6 : zero_x0
1218
    PORT MAP (
1219
    vss => vss,
1220
    vdd => vdd,
1221
    nq => r10(6));
1222
  r10_7 : zero_x0
1223
    PORT MAP (
1224
    vss => vss,
1225
    vdd => vdd,
1226
    nq => r10(7));
1227
  r10_8 : zero_x0
1228
    PORT MAP (
1229
    vss => vss,
1230
    vdd => vdd,
1231
    nq => r10(8));
1232
  r10_9 : zero_x0
1233
    PORT MAP (
1234
    vss => vss,
1235
    vdd => vdd,
1236
    nq => r10(9));
1237
  r10_10 : a2_x2
1238
    PORT MAP (
1239
    vss => vss,
1240
    vdd => vdd,
1241
    q => r10(10),
1242
    i1 => p(0),
1243
    i0 => q(10));
1244
  r10_11 : a2_x2
1245
    PORT MAP (
1246
    vss => vss,
1247
    vdd => vdd,
1248
    q => r10(11),
1249
    i1 => p(1),
1250
    i0 => q(10));
1251
  r10_12 : a2_x2
1252
    PORT MAP (
1253
    vss => vss,
1254
    vdd => vdd,
1255
    q => r10(12),
1256
    i1 => p(2),
1257
    i0 => q(10));
1258
  r10_13 : a2_x2
1259
    PORT MAP (
1260
    vss => vss,
1261
    vdd => vdd,
1262
    q => r10(13),
1263
    i1 => p(3),
1264
    i0 => q(10));
1265
  r10_14 : a2_x2
1266
    PORT MAP (
1267
    vss => vss,
1268
    vdd => vdd,
1269
    q => r10(14),
1270
    i1 => p(4),
1271
    i0 => q(10));
1272
  r10_15 : a2_x2
1273
    PORT MAP (
1274
    vss => vss,
1275
    vdd => vdd,
1276
    q => r10(15),
1277
    i1 => p(5),
1278
    i0 => q(10));
1279
  r10_16 : a2_x2
1280
    PORT MAP (
1281
    vss => vss,
1282
    vdd => vdd,
1283
    q => r10(16),
1284
    i1 => p(6),
1285
    i0 => q(10));
1286
  r10_17 : a2_x2
1287
    PORT MAP (
1288
    vss => vss,
1289
    vdd => vdd,
1290
    q => r10(17),
1291
    i1 => p(7),
1292
    i0 => q(10));
1293
  r10_18 : a2_x2
1294
    PORT MAP (
1295
    vss => vss,
1296
    vdd => vdd,
1297
    q => r10(18),
1298
    i1 => p(8),
1299
    i0 => q(10));
1300
  r10_19 : a2_x2
1301
    PORT MAP (
1302
    vss => vss,
1303
    vdd => vdd,
1304
    q => r10(19),
1305
    i1 => p(9),
1306
    i0 => q(10));
1307
  r10_20 : a2_x2
1308
    PORT MAP (
1309
    vss => vss,
1310
    vdd => vdd,
1311
    q => r10(20),
1312
    i1 => p(10),
1313
    i0 => q(10));
1314
  r10_21 : a2_x2
1315
    PORT MAP (
1316
    vss => vss,
1317
    vdd => vdd,
1318
    q => r10(21),
1319
    i1 => p(11),
1320
    i0 => q(10));
1321
  r10_22 : a2_x2
1322
    PORT MAP (
1323
    vss => vss,
1324
    vdd => vdd,
1325
    q => r10(22),
1326
    i1 => p(12),
1327
    i0 => q(10));
1328
  r10_23 : a2_x2
1329
    PORT MAP (
1330
    vss => vss,
1331
    vdd => vdd,
1332
    q => r10(23),
1333
    i1 => p(13),
1334
    i0 => q(10));
1335
  r10_24 : a2_x2
1336
    PORT MAP (
1337
    vss => vss,
1338
    vdd => vdd,
1339
    q => r10(24),
1340
    i1 => p(14),
1341
    i0 => q(10));
1342
  r10_25 : a2_x2
1343
    PORT MAP (
1344
    vss => vss,
1345
    vdd => vdd,
1346
    q => r10(25),
1347
    i1 => p(15),
1348
    i0 => q(10));
1349
  r10_26 : a2_x2
1350
    PORT MAP (
1351
    vss => vss,
1352
    vdd => vdd,
1353
    q => r10(26),
1354
    i1 => p(16),
1355
    i0 => q(10));
1356
  r10_27 : zero_x0
1357
    PORT MAP (
1358
    vss => vss,
1359
    vdd => vdd,
1360
    nq => r10(27));
1361
  r10_28 : zero_x0
1362
    PORT MAP (
1363
    vss => vss,
1364
    vdd => vdd,
1365
    nq => r10(28));
1366
  r10_29 : zero_x0
1367
    PORT MAP (
1368
    vss => vss,
1369
    vdd => vdd,
1370
    nq => r10(29));
1371
  r10_30 : zero_x0
1372
    PORT MAP (
1373
    vss => vss,
1374
    vdd => vdd,
1375
    nq => r10(30));
1376
  r10_31 : zero_x0
1377
    PORT MAP (
1378
    vss => vss,
1379
    vdd => vdd,
1380
    nq => r10(31));
1381
  r9_0 : zero_x0
1382
    PORT MAP (
1383
    vss => vss,
1384
    vdd => vdd,
1385
    nq => r9(0));
1386
  r9_1 : zero_x0
1387
    PORT MAP (
1388
    vss => vss,
1389
    vdd => vdd,
1390
    nq => r9(1));
1391
  r9_2 : zero_x0
1392
    PORT MAP (
1393
    vss => vss,
1394
    vdd => vdd,
1395
    nq => r9(2));
1396
  r9_3 : zero_x0
1397
    PORT MAP (
1398
    vss => vss,
1399
    vdd => vdd,
1400
    nq => r9(3));
1401
  r9_4 : zero_x0
1402
    PORT MAP (
1403
    vss => vss,
1404
    vdd => vdd,
1405
    nq => r9(4));
1406
  r9_5 : zero_x0
1407
    PORT MAP (
1408
    vss => vss,
1409
    vdd => vdd,
1410
    nq => r9(5));
1411
  r9_6 : zero_x0
1412
    PORT MAP (
1413
    vss => vss,
1414
    vdd => vdd,
1415
    nq => r9(6));
1416
  r9_7 : zero_x0
1417
    PORT MAP (
1418
    vss => vss,
1419
    vdd => vdd,
1420
    nq => r9(7));
1421
  r9_8 : zero_x0
1422
    PORT MAP (
1423
    vss => vss,
1424
    vdd => vdd,
1425
    nq => r9(8));
1426
  r9_9 : a2_x2
1427
    PORT MAP (
1428
    vss => vss,
1429
    vdd => vdd,
1430
    q => r9(9),
1431
    i1 => p(0),
1432
    i0 => q(9));
1433
  r9_10 : a2_x2
1434
    PORT MAP (
1435
    vss => vss,
1436
    vdd => vdd,
1437
    q => r9(10),
1438
    i1 => p(1),
1439
    i0 => q(9));
1440
  r9_11 : a2_x2
1441
    PORT MAP (
1442
    vss => vss,
1443
    vdd => vdd,
1444
    q => r9(11),
1445
    i1 => p(2),
1446
    i0 => q(9));
1447
  r9_12 : a2_x2
1448
    PORT MAP (
1449
    vss => vss,
1450
    vdd => vdd,
1451
    q => r9(12),
1452
    i1 => p(3),
1453
    i0 => q(9));
1454
  r9_13 : a2_x2
1455
    PORT MAP (
1456
    vss => vss,
1457
    vdd => vdd,
1458
    q => r9(13),
1459
    i1 => p(4),
1460
    i0 => q(9));
1461
  r9_14 : a2_x2
1462
    PORT MAP (
1463
    vss => vss,
1464
    vdd => vdd,
1465
    q => r9(14),
1466
    i1 => p(5),
1467
    i0 => q(9));
1468
  r9_15 : a2_x2
1469
    PORT MAP (
1470
    vss => vss,
1471
    vdd => vdd,
1472
    q => r9(15),
1473
    i1 => p(6),
1474
    i0 => q(9));
1475
  r9_16 : a2_x2
1476
    PORT MAP (
1477
    vss => vss,
1478
    vdd => vdd,
1479
    q => r9(16),
1480
    i1 => p(7),
1481
    i0 => q(9));
1482
  r9_17 : a2_x2
1483
    PORT MAP (
1484
    vss => vss,
1485
    vdd => vdd,
1486
    q => r9(17),
1487
    i1 => p(8),
1488
    i0 => q(9));
1489
  r9_18 : a2_x2
1490
    PORT MAP (
1491
    vss => vss,
1492
    vdd => vdd,
1493
    q => r9(18),
1494
    i1 => p(9),
1495
    i0 => q(9));
1496
  r9_19 : a2_x2
1497
    PORT MAP (
1498
    vss => vss,
1499
    vdd => vdd,
1500
    q => r9(19),
1501
    i1 => p(10),
1502
    i0 => q(9));
1503
  r9_20 : a2_x2
1504
    PORT MAP (
1505
    vss => vss,
1506
    vdd => vdd,
1507
    q => r9(20),
1508
    i1 => p(11),
1509
    i0 => q(9));
1510
  r9_21 : a2_x2
1511
    PORT MAP (
1512
    vss => vss,
1513
    vdd => vdd,
1514
    q => r9(21),
1515
    i1 => p(12),
1516
    i0 => q(9));
1517
  r9_22 : a2_x2
1518
    PORT MAP (
1519
    vss => vss,
1520
    vdd => vdd,
1521
    q => r9(22),
1522
    i1 => p(13),
1523
    i0 => q(9));
1524
  r9_23 : a2_x2
1525
    PORT MAP (
1526
    vss => vss,
1527
    vdd => vdd,
1528
    q => r9(23),
1529
    i1 => p(14),
1530
    i0 => q(9));
1531
  r9_24 : a2_x2
1532
    PORT MAP (
1533
    vss => vss,
1534
    vdd => vdd,
1535
    q => r9(24),
1536
    i1 => p(15),
1537
    i0 => q(9));
1538
  r9_25 : a2_x2
1539
    PORT MAP (
1540
    vss => vss,
1541
    vdd => vdd,
1542
    q => r9(25),
1543
    i1 => p(16),
1544
    i0 => q(9));
1545
  r9_26 : zero_x0
1546
    PORT MAP (
1547
    vss => vss,
1548
    vdd => vdd,
1549
    nq => r9(26));
1550
  r9_27 : zero_x0
1551
    PORT MAP (
1552
    vss => vss,
1553
    vdd => vdd,
1554
    nq => r9(27));
1555
  r9_28 : zero_x0
1556
    PORT MAP (
1557
    vss => vss,
1558
    vdd => vdd,
1559
    nq => r9(28));
1560
  r9_29 : zero_x0
1561
    PORT MAP (
1562
    vss => vss,
1563
    vdd => vdd,
1564
    nq => r9(29));
1565
  r9_30 : zero_x0
1566
    PORT MAP (
1567
    vss => vss,
1568
    vdd => vdd,
1569
    nq => r9(30));
1570
  r9_31 : zero_x0
1571
    PORT MAP (
1572
    vss => vss,
1573
    vdd => vdd,
1574
    nq => r9(31));
1575
  r8_0 : zero_x0
1576
    PORT MAP (
1577
    vss => vss,
1578
    vdd => vdd,
1579
    nq => r8(0));
1580
  r8_1 : zero_x0
1581
    PORT MAP (
1582
    vss => vss,
1583
    vdd => vdd,
1584
    nq => r8(1));
1585
  r8_2 : zero_x0
1586
    PORT MAP (
1587
    vss => vss,
1588
    vdd => vdd,
1589
    nq => r8(2));
1590
  r8_3 : zero_x0
1591
    PORT MAP (
1592
    vss => vss,
1593
    vdd => vdd,
1594
    nq => r8(3));
1595
  r8_4 : zero_x0
1596
    PORT MAP (
1597
    vss => vss,
1598
    vdd => vdd,
1599
    nq => r8(4));
1600
  r8_5 : zero_x0
1601
    PORT MAP (
1602
    vss => vss,
1603
    vdd => vdd,
1604
    nq => r8(5));
1605
  r8_6 : zero_x0
1606
    PORT MAP (
1607
    vss => vss,
1608
    vdd => vdd,
1609
    nq => r8(6));
1610
  r8_7 : zero_x0
1611
    PORT MAP (
1612
    vss => vss,
1613
    vdd => vdd,
1614
    nq => r8(7));
1615
  r8_8 : a2_x2
1616
    PORT MAP (
1617
    vss => vss,
1618
    vdd => vdd,
1619
    q => r8(8),
1620
    i1 => p(0),
1621
    i0 => q(8));
1622
  r8_9 : a2_x2
1623
    PORT MAP (
1624
    vss => vss,
1625
    vdd => vdd,
1626
    q => r8(9),
1627
    i1 => p(1),
1628
    i0 => q(8));
1629
  r8_10 : a2_x2
1630
    PORT MAP (
1631
    vss => vss,
1632
    vdd => vdd,
1633
    q => r8(10),
1634
    i1 => p(2),
1635
    i0 => q(8));
1636
  r8_11 : a2_x2
1637
    PORT MAP (
1638
    vss => vss,
1639
    vdd => vdd,
1640
    q => r8(11),
1641
    i1 => p(3),
1642
    i0 => q(8));
1643
  r8_12 : a2_x2
1644
    PORT MAP (
1645
    vss => vss,
1646
    vdd => vdd,
1647
    q => r8(12),
1648
    i1 => p(4),
1649
    i0 => q(8));
1650
  r8_13 : a2_x2
1651
    PORT MAP (
1652
    vss => vss,
1653
    vdd => vdd,
1654
    q => r8(13),
1655
    i1 => p(5),
1656
    i0 => q(8));
1657
  r8_14 : a2_x2
1658
    PORT MAP (
1659
    vss => vss,
1660
    vdd => vdd,
1661
    q => r8(14),
1662
    i1 => p(6),
1663
    i0 => q(8));
1664
  r8_15 : a2_x2
1665
    PORT MAP (
1666
    vss => vss,
1667
    vdd => vdd,
1668
    q => r8(15),
1669
    i1 => p(7),
1670
    i0 => q(8));
1671
  r8_16 : a2_x2
1672
    PORT MAP (
1673
    vss => vss,
1674
    vdd => vdd,
1675
    q => r8(16),
1676
    i1 => p(8),
1677
    i0 => q(8));
1678
  r8_17 : a2_x2
1679
    PORT MAP (
1680
    vss => vss,
1681
    vdd => vdd,
1682
    q => r8(17),
1683
    i1 => p(9),
1684
    i0 => q(8));
1685
  r8_18 : a2_x2
1686
    PORT MAP (
1687
    vss => vss,
1688
    vdd => vdd,
1689
    q => r8(18),
1690
    i1 => p(10),
1691
    i0 => q(8));
1692
  r8_19 : a2_x2
1693
    PORT MAP (
1694
    vss => vss,
1695
    vdd => vdd,
1696
    q => r8(19),
1697
    i1 => p(11),
1698
    i0 => q(8));
1699
  r8_20 : a2_x2
1700
    PORT MAP (
1701
    vss => vss,
1702
    vdd => vdd,
1703
    q => r8(20),
1704
    i1 => p(12),
1705
    i0 => q(8));
1706
  r8_21 : a2_x2
1707
    PORT MAP (
1708
    vss => vss,
1709
    vdd => vdd,
1710
    q => r8(21),
1711
    i1 => p(13),
1712
    i0 => q(8));
1713
  r8_22 : a2_x2
1714
    PORT MAP (
1715
    vss => vss,
1716
    vdd => vdd,
1717
    q => r8(22),
1718
    i1 => p(14),
1719
    i0 => q(8));
1720
  r8_23 : a2_x2
1721
    PORT MAP (
1722
    vss => vss,
1723
    vdd => vdd,
1724
    q => r8(23),
1725
    i1 => p(15),
1726
    i0 => q(8));
1727
  r8_24 : a2_x2
1728
    PORT MAP (
1729
    vss => vss,
1730
    vdd => vdd,
1731
    q => r8(24),
1732
    i1 => p(16),
1733
    i0 => q(8));
1734
  r8_25 : zero_x0
1735
    PORT MAP (
1736
    vss => vss,
1737
    vdd => vdd,
1738
    nq => r8(25));
1739
  r8_26 : zero_x0
1740
    PORT MAP (
1741
    vss => vss,
1742
    vdd => vdd,
1743
    nq => r8(26));
1744
  r8_27 : zero_x0
1745
    PORT MAP (
1746
    vss => vss,
1747
    vdd => vdd,
1748
    nq => r8(27));
1749
  r8_28 : zero_x0
1750
    PORT MAP (
1751
    vss => vss,
1752
    vdd => vdd,
1753
    nq => r8(28));
1754
  r8_29 : zero_x0
1755
    PORT MAP (
1756
    vss => vss,
1757
    vdd => vdd,
1758
    nq => r8(29));
1759
  r8_30 : zero_x0
1760
    PORT MAP (
1761
    vss => vss,
1762
    vdd => vdd,
1763
    nq => r8(30));
1764
  r8_31 : zero_x0
1765
    PORT MAP (
1766
    vss => vss,
1767
    vdd => vdd,
1768
    nq => r8(31));
1769
  r7_0 : zero_x0
1770
    PORT MAP (
1771
    vss => vss,
1772
    vdd => vdd,
1773
    nq => r7(0));
1774
  r7_1 : zero_x0
1775
    PORT MAP (
1776
    vss => vss,
1777
    vdd => vdd,
1778
    nq => r7(1));
1779
  r7_2 : zero_x0
1780
    PORT MAP (
1781
    vss => vss,
1782
    vdd => vdd,
1783
    nq => r7(2));
1784
  r7_3 : zero_x0
1785
    PORT MAP (
1786
    vss => vss,
1787
    vdd => vdd,
1788
    nq => r7(3));
1789
  r7_4 : zero_x0
1790
    PORT MAP (
1791
    vss => vss,
1792
    vdd => vdd,
1793
    nq => r7(4));
1794
  r7_5 : zero_x0
1795
    PORT MAP (
1796
    vss => vss,
1797
    vdd => vdd,
1798
    nq => r7(5));
1799
  r7_6 : zero_x0
1800
    PORT MAP (
1801
    vss => vss,
1802
    vdd => vdd,
1803
    nq => r7(6));
1804
  r7_7 : a2_x2
1805
    PORT MAP (
1806
    vss => vss,
1807
    vdd => vdd,
1808
    q => r7(7),
1809
    i1 => p(0),
1810
    i0 => q(7));
1811
  r7_8 : a2_x2
1812
    PORT MAP (
1813
    vss => vss,
1814
    vdd => vdd,
1815
    q => r7(8),
1816
    i1 => p(1),
1817
    i0 => q(7));
1818
  r7_9 : a2_x2
1819
    PORT MAP (
1820
    vss => vss,
1821
    vdd => vdd,
1822
    q => r7(9),
1823
    i1 => p(2),
1824
    i0 => q(7));
1825
  r7_10 : a2_x2
1826
    PORT MAP (
1827
    vss => vss,
1828
    vdd => vdd,
1829
    q => r7(10),
1830
    i1 => p(3),
1831
    i0 => q(7));
1832
  r7_11 : a2_x2
1833
    PORT MAP (
1834
    vss => vss,
1835
    vdd => vdd,
1836
    q => r7(11),
1837
    i1 => p(4),
1838
    i0 => q(7));
1839
  r7_12 : a2_x2
1840
    PORT MAP (
1841
    vss => vss,
1842
    vdd => vdd,
1843
    q => r7(12),
1844
    i1 => p(5),
1845
    i0 => q(7));
1846
  r7_13 : a2_x2
1847
    PORT MAP (
1848
    vss => vss,
1849
    vdd => vdd,
1850
    q => r7(13),
1851
    i1 => p(6),
1852
    i0 => q(7));
1853
  r7_14 : a2_x2
1854
    PORT MAP (
1855
    vss => vss,
1856
    vdd => vdd,
1857
    q => r7(14),
1858
    i1 => p(7),
1859
    i0 => q(7));
1860
  r7_15 : a2_x2
1861
    PORT MAP (
1862
    vss => vss,
1863
    vdd => vdd,
1864
    q => r7(15),
1865
    i1 => p(8),
1866
    i0 => q(7));
1867
  r7_16 : a2_x2
1868
    PORT MAP (
1869
    vss => vss,
1870
    vdd => vdd,
1871
    q => r7(16),
1872
    i1 => p(9),
1873
    i0 => q(7));
1874
  r7_17 : a2_x2
1875
    PORT MAP (
1876
    vss => vss,
1877
    vdd => vdd,
1878
    q => r7(17),
1879
    i1 => p(10),
1880
    i0 => q(7));
1881
  r7_18 : a2_x2
1882
    PORT MAP (
1883
    vss => vss,
1884
    vdd => vdd,
1885
    q => r7(18),
1886
    i1 => p(11),
1887
    i0 => q(7));
1888
  r7_19 : a2_x2
1889
    PORT MAP (
1890
    vss => vss,
1891
    vdd => vdd,
1892
    q => r7(19),
1893
    i1 => p(12),
1894
    i0 => q(7));
1895
  r7_20 : a2_x2
1896
    PORT MAP (
1897
    vss => vss,
1898
    vdd => vdd,
1899
    q => r7(20),
1900
    i1 => p(13),
1901
    i0 => q(7));
1902
  r7_21 : a2_x2
1903
    PORT MAP (
1904
    vss => vss,
1905
    vdd => vdd,
1906
    q => r7(21),
1907
    i1 => p(14),
1908
    i0 => q(7));
1909
  r7_22 : a2_x2
1910
    PORT MAP (
1911
    vss => vss,
1912
    vdd => vdd,
1913
    q => r7(22),
1914
    i1 => p(15),
1915
    i0 => q(7));
1916
  r7_23 : a2_x2
1917
    PORT MAP (
1918
    vss => vss,
1919
    vdd => vdd,
1920
    q => r7(23),
1921
    i1 => p(16),
1922
    i0 => q(7));
1923
  r7_24 : zero_x0
1924
    PORT MAP (
1925
    vss => vss,
1926
    vdd => vdd,
1927
    nq => r7(24));
1928
  r7_25 : zero_x0
1929
    PORT MAP (
1930
    vss => vss,
1931
    vdd => vdd,
1932
    nq => r7(25));
1933
  r7_26 : zero_x0
1934
    PORT MAP (
1935
    vss => vss,
1936
    vdd => vdd,
1937
    nq => r7(26));
1938
  r7_27 : zero_x0
1939
    PORT MAP (
1940
    vss => vss,
1941
    vdd => vdd,
1942
    nq => r7(27));
1943
  r7_28 : zero_x0
1944
    PORT MAP (
1945
    vss => vss,
1946
    vdd => vdd,
1947
    nq => r7(28));
1948
  r7_29 : zero_x0
1949
    PORT MAP (
1950
    vss => vss,
1951
    vdd => vdd,
1952
    nq => r7(29));
1953
  r7_30 : zero_x0
1954
    PORT MAP (
1955
    vss => vss,
1956
    vdd => vdd,
1957
    nq => r7(30));
1958
  r7_31 : zero_x0
1959
    PORT MAP (
1960
    vss => vss,
1961
    vdd => vdd,
1962
    nq => r7(31));
1963
  r6_0 : zero_x0
1964
    PORT MAP (
1965
    vss => vss,
1966
    vdd => vdd,
1967
    nq => r6(0));
1968
  r6_1 : zero_x0
1969
    PORT MAP (
1970
    vss => vss,
1971
    vdd => vdd,
1972
    nq => r6(1));
1973
  r6_2 : zero_x0
1974
    PORT MAP (
1975
    vss => vss,
1976
    vdd => vdd,
1977
    nq => r6(2));
1978
  r6_3 : zero_x0
1979
    PORT MAP (
1980
    vss => vss,
1981
    vdd => vdd,
1982
    nq => r6(3));
1983
  r6_4 : zero_x0
1984
    PORT MAP (
1985
    vss => vss,
1986
    vdd => vdd,
1987
    nq => r6(4));
1988
  r6_5 : zero_x0
1989
    PORT MAP (
1990
    vss => vss,
1991
    vdd => vdd,
1992
    nq => r6(5));
1993
  r6_6 : a2_x2
1994
    PORT MAP (
1995
    vss => vss,
1996
    vdd => vdd,
1997
    q => r6(6),
1998
    i1 => p(0),
1999
    i0 => q(6));
2000
  r6_7 : a2_x2
2001
    PORT MAP (
2002
    vss => vss,
2003
    vdd => vdd,
2004
    q => r6(7),
2005
    i1 => p(1),
2006
    i0 => q(6));
2007
  r6_8 : a2_x2
2008
    PORT MAP (
2009
    vss => vss,
2010
    vdd => vdd,
2011
    q => r6(8),
2012
    i1 => p(2),
2013
    i0 => q(6));
2014
  r6_9 : a2_x2
2015
    PORT MAP (
2016
    vss => vss,
2017
    vdd => vdd,
2018
    q => r6(9),
2019
    i1 => p(3),
2020
    i0 => q(6));
2021
  r6_10 : a2_x2
2022
    PORT MAP (
2023
    vss => vss,
2024
    vdd => vdd,
2025
    q => r6(10),
2026
    i1 => p(4),
2027
    i0 => q(6));
2028
  r6_11 : a2_x2
2029
    PORT MAP (
2030
    vss => vss,
2031
    vdd => vdd,
2032
    q => r6(11),
2033
    i1 => p(5),
2034
    i0 => q(6));
2035
  r6_12 : a2_x2
2036
    PORT MAP (
2037
    vss => vss,
2038
    vdd => vdd,
2039
    q => r6(12),
2040
    i1 => p(6),
2041
    i0 => q(6));
2042
  r6_13 : a2_x2
2043
    PORT MAP (
2044
    vss => vss,
2045
    vdd => vdd,
2046
    q => r6(13),
2047
    i1 => p(7),
2048
    i0 => q(6));
2049
  r6_14 : a2_x2
2050
    PORT MAP (
2051
    vss => vss,
2052
    vdd => vdd,
2053
    q => r6(14),
2054
    i1 => p(8),
2055
    i0 => q(6));
2056
  r6_15 : a2_x2
2057
    PORT MAP (
2058
    vss => vss,
2059
    vdd => vdd,
2060
    q => r6(15),
2061
    i1 => p(9),
2062
    i0 => q(6));
2063
  r6_16 : a2_x2
2064
    PORT MAP (
2065
    vss => vss,
2066
    vdd => vdd,
2067
    q => r6(16),
2068
    i1 => p(10),
2069
    i0 => q(6));
2070
  r6_17 : a2_x2
2071
    PORT MAP (
2072
    vss => vss,
2073
    vdd => vdd,
2074
    q => r6(17),
2075
    i1 => p(11),
2076
    i0 => q(6));
2077
  r6_18 : a2_x2
2078
    PORT MAP (
2079
    vss => vss,
2080
    vdd => vdd,
2081
    q => r6(18),
2082
    i1 => p(12),
2083
    i0 => q(6));
2084
  r6_19 : a2_x2
2085
    PORT MAP (
2086
    vss => vss,
2087
    vdd => vdd,
2088
    q => r6(19),
2089
    i1 => p(13),
2090
    i0 => q(6));
2091
  r6_20 : a2_x2
2092
    PORT MAP (
2093
    vss => vss,
2094
    vdd => vdd,
2095
    q => r6(20),
2096
    i1 => p(14),
2097
    i0 => q(6));
2098
  r6_21 : a2_x2
2099
    PORT MAP (
2100
    vss => vss,
2101
    vdd => vdd,
2102
    q => r6(21),
2103
    i1 => p(15),
2104
    i0 => q(6));
2105
  r6_22 : a2_x2
2106
    PORT MAP (
2107
    vss => vss,
2108
    vdd => vdd,
2109
    q => r6(22),
2110
    i1 => p(16),
2111
    i0 => q(6));
2112
  r6_23 : zero_x0
2113
    PORT MAP (
2114
    vss => vss,
2115
    vdd => vdd,
2116
    nq => r6(23));
2117
  r6_24 : zero_x0
2118
    PORT MAP (
2119
    vss => vss,
2120
    vdd => vdd,
2121
    nq => r6(24));
2122
  r6_25 : zero_x0
2123
    PORT MAP (
2124
    vss => vss,
2125
    vdd => vdd,
2126
    nq => r6(25));
2127
  r6_26 : zero_x0
2128
    PORT MAP (
2129
    vss => vss,
2130
    vdd => vdd,
2131
    nq => r6(26));
2132
  r6_27 : zero_x0
2133
    PORT MAP (
2134
    vss => vss,
2135
    vdd => vdd,
2136
    nq => r6(27));
2137
  r6_28 : zero_x0
2138
    PORT MAP (
2139
    vss => vss,
2140
    vdd => vdd,
2141
    nq => r6(28));
2142
  r6_29 : zero_x0
2143
    PORT MAP (
2144
    vss => vss,
2145
    vdd => vdd,
2146
    nq => r6(29));
2147
  r6_30 : zero_x0
2148
    PORT MAP (
2149
    vss => vss,
2150
    vdd => vdd,
2151
    nq => r6(30));
2152
  r6_31 : zero_x0
2153
    PORT MAP (
2154
    vss => vss,
2155
    vdd => vdd,
2156
    nq => r6(31));
2157
  r5_0 : zero_x0
2158
    PORT MAP (
2159
    vss => vss,
2160
    vdd => vdd,
2161
    nq => r5(0));
2162
  r5_1 : zero_x0
2163
    PORT MAP (
2164
    vss => vss,
2165
    vdd => vdd,
2166
    nq => r5(1));
2167
  r5_2 : zero_x0
2168
    PORT MAP (
2169
    vss => vss,
2170
    vdd => vdd,
2171
    nq => r5(2));
2172
  r5_3 : zero_x0
2173
    PORT MAP (
2174
    vss => vss,
2175
    vdd => vdd,
2176
    nq => r5(3));
2177
  r5_4 : zero_x0
2178
    PORT MAP (
2179
    vss => vss,
2180
    vdd => vdd,
2181
    nq => r5(4));
2182
  r5_5 : a2_x2
2183
    PORT MAP (
2184
    vss => vss,
2185
    vdd => vdd,
2186
    q => r5(5),
2187
    i1 => p(0),
2188
    i0 => q(5));
2189
  r5_6 : a2_x2
2190
    PORT MAP (
2191
    vss => vss,
2192
    vdd => vdd,
2193
    q => r5(6),
2194
    i1 => p(1),
2195
    i0 => q(5));
2196
  r5_7 : a2_x2
2197
    PORT MAP (
2198
    vss => vss,
2199
    vdd => vdd,
2200
    q => r5(7),
2201
    i1 => p(2),
2202
    i0 => q(5));
2203
  r5_8 : a2_x2
2204
    PORT MAP (
2205
    vss => vss,
2206
    vdd => vdd,
2207
    q => r5(8),
2208
    i1 => p(3),
2209
    i0 => q(5));
2210
  r5_9 : a2_x2
2211
    PORT MAP (
2212
    vss => vss,
2213
    vdd => vdd,
2214
    q => r5(9),
2215
    i1 => p(4),
2216
    i0 => q(5));
2217
  r5_10 : a2_x2
2218
    PORT MAP (
2219
    vss => vss,
2220
    vdd => vdd,
2221
    q => r5(10),
2222
    i1 => p(5),
2223
    i0 => q(5));
2224
  r5_11 : a2_x2
2225
    PORT MAP (
2226
    vss => vss,
2227
    vdd => vdd,
2228
    q => r5(11),
2229
    i1 => p(6),
2230
    i0 => q(5));
2231
  r5_12 : a2_x2
2232
    PORT MAP (
2233
    vss => vss,
2234
    vdd => vdd,
2235
    q => r5(12),
2236
    i1 => p(7),
2237
    i0 => q(5));
2238
  r5_13 : a2_x2
2239
    PORT MAP (
2240
    vss => vss,
2241
    vdd => vdd,
2242
    q => r5(13),
2243
    i1 => p(8),
2244
    i0 => q(5));
2245
  r5_14 : a2_x2
2246
    PORT MAP (
2247
    vss => vss,
2248
    vdd => vdd,
2249
    q => r5(14),
2250
    i1 => p(9),
2251
    i0 => q(5));
2252
  r5_15 : a2_x2
2253
    PORT MAP (
2254
    vss => vss,
2255
    vdd => vdd,
2256
    q => r5(15),
2257
    i1 => p(10),
2258
    i0 => q(5));
2259
  r5_16 : a2_x2
2260
    PORT MAP (
2261
    vss => vss,
2262
    vdd => vdd,
2263
    q => r5(16),
2264
    i1 => p(11),
2265
    i0 => q(5));
2266
  r5_17 : a2_x2
2267
    PORT MAP (
2268
    vss => vss,
2269
    vdd => vdd,
2270
    q => r5(17),
2271
    i1 => p(12),
2272
    i0 => q(5));
2273
  r5_18 : a2_x2
2274
    PORT MAP (
2275
    vss => vss,
2276
    vdd => vdd,
2277
    q => r5(18),
2278
    i1 => p(13),
2279
    i0 => q(5));
2280
  r5_19 : a2_x2
2281
    PORT MAP (
2282
    vss => vss,
2283
    vdd => vdd,
2284
    q => r5(19),
2285
    i1 => p(14),
2286
    i0 => q(5));
2287
  r5_20 : a2_x2
2288
    PORT MAP (
2289
    vss => vss,
2290
    vdd => vdd,
2291
    q => r5(20),
2292
    i1 => p(15),
2293
    i0 => q(5));
2294
  r5_21 : a2_x2
2295
    PORT MAP (
2296
    vss => vss,
2297
    vdd => vdd,
2298
    q => r5(21),
2299
    i1 => p(16),
2300
    i0 => q(5));
2301
  r5_22 : zero_x0
2302
    PORT MAP (
2303
    vss => vss,
2304
    vdd => vdd,
2305
    nq => r5(22));
2306
  r5_23 : zero_x0
2307
    PORT MAP (
2308
    vss => vss,
2309
    vdd => vdd,
2310
    nq => r5(23));
2311
  r5_24 : zero_x0
2312
    PORT MAP (
2313
    vss => vss,
2314
    vdd => vdd,
2315
    nq => r5(24));
2316
  r5_25 : zero_x0
2317
    PORT MAP (
2318
    vss => vss,
2319
    vdd => vdd,
2320
    nq => r5(25));
2321
  r5_26 : zero_x0
2322
    PORT MAP (
2323
    vss => vss,
2324
    vdd => vdd,
2325
    nq => r5(26));
2326
  r5_27 : zero_x0
2327
    PORT MAP (
2328
    vss => vss,
2329
    vdd => vdd,
2330
    nq => r5(27));
2331
  r5_28 : zero_x0
2332
    PORT MAP (
2333
    vss => vss,
2334
    vdd => vdd,
2335
    nq => r5(28));
2336
  r5_29 : zero_x0
2337
    PORT MAP (
2338
    vss => vss,
2339
    vdd => vdd,
2340
    nq => r5(29));
2341
  r5_30 : zero_x0
2342
    PORT MAP (
2343
    vss => vss,
2344
    vdd => vdd,
2345
    nq => r5(30));
2346
  r5_31 : zero_x0
2347
    PORT MAP (
2348
    vss => vss,
2349
    vdd => vdd,
2350
    nq => r5(31));
2351
  r4_0 : zero_x0
2352
    PORT MAP (
2353
    vss => vss,
2354
    vdd => vdd,
2355
    nq => r4(0));
2356
  r4_1 : zero_x0
2357
    PORT MAP (
2358
    vss => vss,
2359
    vdd => vdd,
2360
    nq => r4(1));
2361
  r4_2 : zero_x0
2362
    PORT MAP (
2363
    vss => vss,
2364
    vdd => vdd,
2365
    nq => r4(2));
2366
  r4_3 : zero_x0
2367
    PORT MAP (
2368
    vss => vss,
2369
    vdd => vdd,
2370
    nq => r4(3));
2371
  r4_4 : a2_x2
2372
    PORT MAP (
2373
    vss => vss,
2374
    vdd => vdd,
2375
    q => r4(4),
2376
    i1 => p(0),
2377
    i0 => q(4));
2378
  r4_5 : a2_x2
2379
    PORT MAP (
2380
    vss => vss,
2381
    vdd => vdd,
2382
    q => r4(5),
2383
    i1 => p(1),
2384
    i0 => q(4));
2385
  r4_6 : a2_x2
2386
    PORT MAP (
2387
    vss => vss,
2388
    vdd => vdd,
2389
    q => r4(6),
2390
    i1 => p(2),
2391
    i0 => q(4));
2392
  r4_7 : a2_x2
2393
    PORT MAP (
2394
    vss => vss,
2395
    vdd => vdd,
2396
    q => r4(7),
2397
    i1 => p(3),
2398
    i0 => q(4));
2399
  r4_8 : a2_x2
2400
    PORT MAP (
2401
    vss => vss,
2402
    vdd => vdd,
2403
    q => r4(8),
2404
    i1 => p(4),
2405
    i0 => q(4));
2406
  r4_9 : a2_x2
2407
    PORT MAP (
2408
    vss => vss,
2409
    vdd => vdd,
2410
    q => r4(9),
2411
    i1 => p(5),
2412
    i0 => q(4));
2413
  r4_10 : a2_x2
2414
    PORT MAP (
2415
    vss => vss,
2416
    vdd => vdd,
2417
    q => r4(10),
2418
    i1 => p(6),
2419
    i0 => q(4));
2420
  r4_11 : a2_x2
2421
    PORT MAP (
2422
    vss => vss,
2423
    vdd => vdd,
2424
    q => r4(11),
2425
    i1 => p(7),
2426
    i0 => q(4));
2427
  r4_12 : a2_x2
2428
    PORT MAP (
2429
    vss => vss,
2430
    vdd => vdd,
2431
    q => r4(12),
2432
    i1 => p(8),
2433
    i0 => q(4));
2434
  r4_13 : a2_x2
2435
    PORT MAP (
2436
    vss => vss,
2437
    vdd => vdd,
2438
    q => r4(13),
2439
    i1 => p(9),
2440
    i0 => q(4));
2441
  r4_14 : a2_x2
2442
    PORT MAP (
2443
    vss => vss,
2444
    vdd => vdd,
2445
    q => r4(14),
2446
    i1 => p(10),
2447
    i0 => q(4));
2448
  r4_15 : a2_x2
2449
    PORT MAP (
2450
    vss => vss,
2451
    vdd => vdd,
2452
    q => r4(15),
2453
    i1 => p(11),
2454
    i0 => q(4));
2455
  r4_16 : a2_x2
2456
    PORT MAP (
2457
    vss => vss,
2458
    vdd => vdd,
2459
    q => r4(16),
2460
    i1 => p(12),
2461
    i0 => q(4));
2462
  r4_17 : a2_x2
2463
    PORT MAP (
2464
    vss => vss,
2465
    vdd => vdd,
2466
    q => r4(17),
2467
    i1 => p(13),
2468
    i0 => q(4));
2469
  r4_18 : a2_x2
2470
    PORT MAP (
2471
    vss => vss,
2472
    vdd => vdd,
2473
    q => r4(18),
2474
    i1 => p(14),
2475
    i0 => q(4));
2476
  r4_19 : a2_x2
2477
    PORT MAP (
2478
    vss => vss,
2479
    vdd => vdd,
2480
    q => r4(19),
2481
    i1 => p(15),
2482
    i0 => q(4));
2483
  r4_20 : a2_x2
2484
    PORT MAP (
2485
    vss => vss,
2486
    vdd => vdd,
2487
    q => r4(20),
2488
    i1 => p(16),
2489
    i0 => q(4));
2490
  r4_21 : zero_x0
2491
    PORT MAP (
2492
    vss => vss,
2493
    vdd => vdd,
2494
    nq => r4(21));
2495
  r4_22 : zero_x0
2496
    PORT MAP (
2497
    vss => vss,
2498
    vdd => vdd,
2499
    nq => r4(22));
2500
  r4_23 : zero_x0
2501
    PORT MAP (
2502
    vss => vss,
2503
    vdd => vdd,
2504
    nq => r4(23));
2505
  r4_24 : zero_x0
2506
    PORT MAP (
2507
    vss => vss,
2508
    vdd => vdd,
2509
    nq => r4(24));
2510
  r4_25 : zero_x0
2511
    PORT MAP (
2512
    vss => vss,
2513
    vdd => vdd,
2514
    nq => r4(25));
2515
  r4_26 : zero_x0
2516
    PORT MAP (
2517
    vss => vss,
2518
    vdd => vdd,
2519
    nq => r4(26));
2520
  r4_27 : zero_x0
2521
    PORT MAP (
2522
    vss => vss,
2523
    vdd => vdd,
2524
    nq => r4(27));
2525
  r4_28 : zero_x0
2526
    PORT MAP (
2527
    vss => vss,
2528
    vdd => vdd,
2529
    nq => r4(28));
2530
  r4_29 : zero_x0
2531
    PORT MAP (
2532
    vss => vss,
2533
    vdd => vdd,
2534
    nq => r4(29));
2535
  r4_30 : zero_x0
2536
    PORT MAP (
2537
    vss => vss,
2538
    vdd => vdd,
2539
    nq => r4(30));
2540
  r4_31 : zero_x0
2541
    PORT MAP (
2542
    vss => vss,
2543
    vdd => vdd,
2544
    nq => r4(31));
2545
  r3_0 : zero_x0
2546
    PORT MAP (
2547
    vss => vss,
2548
    vdd => vdd,
2549
    nq => r3(0));
2550
  r3_1 : zero_x0
2551
    PORT MAP (
2552
    vss => vss,
2553
    vdd => vdd,
2554
    nq => r3(1));
2555
  r3_2 : zero_x0
2556
    PORT MAP (
2557
    vss => vss,
2558
    vdd => vdd,
2559
    nq => r3(2));
2560
  r3_3 : a2_x2
2561
    PORT MAP (
2562
    vss => vss,
2563
    vdd => vdd,
2564
    q => r3(3),
2565
    i1 => p(0),
2566
    i0 => q(3));
2567
  r3_4 : a2_x2
2568
    PORT MAP (
2569
    vss => vss,
2570
    vdd => vdd,
2571
    q => r3(4),
2572
    i1 => p(1),
2573
    i0 => q(3));
2574
  r3_5 : a2_x2
2575
    PORT MAP (
2576
    vss => vss,
2577
    vdd => vdd,
2578
    q => r3(5),
2579
    i1 => p(2),
2580
    i0 => q(3));
2581
  r3_6 : a2_x2
2582
    PORT MAP (
2583
    vss => vss,
2584
    vdd => vdd,
2585
    q => r3(6),
2586
    i1 => p(3),
2587
    i0 => q(3));
2588
  r3_7 : a2_x2
2589
    PORT MAP (
2590
    vss => vss,
2591
    vdd => vdd,
2592
    q => r3(7),
2593
    i1 => p(4),
2594
    i0 => q(3));
2595
  r3_8 : a2_x2
2596
    PORT MAP (
2597
    vss => vss,
2598
    vdd => vdd,
2599
    q => r3(8),
2600
    i1 => p(5),
2601
    i0 => q(3));
2602
  r3_9 : a2_x2
2603
    PORT MAP (
2604
    vss => vss,
2605
    vdd => vdd,
2606
    q => r3(9),
2607
    i1 => p(6),
2608
    i0 => q(3));
2609
  r3_10 : a2_x2
2610
    PORT MAP (
2611
    vss => vss,
2612
    vdd => vdd,
2613
    q => r3(10),
2614
    i1 => p(7),
2615
    i0 => q(3));
2616
  r3_11 : a2_x2
2617
    PORT MAP (
2618
    vss => vss,
2619
    vdd => vdd,
2620
    q => r3(11),
2621
    i1 => p(8),
2622
    i0 => q(3));
2623
  r3_12 : a2_x2
2624
    PORT MAP (
2625
    vss => vss,
2626
    vdd => vdd,
2627
    q => r3(12),
2628
    i1 => p(9),
2629
    i0 => q(3));
2630
  r3_13 : a2_x2
2631
    PORT MAP (
2632
    vss => vss,
2633
    vdd => vdd,
2634
    q => r3(13),
2635
    i1 => p(10),
2636
    i0 => q(3));
2637
  r3_14 : a2_x2
2638
    PORT MAP (
2639
    vss => vss,
2640
    vdd => vdd,
2641
    q => r3(14),
2642
    i1 => p(11),
2643
    i0 => q(3));
2644
  r3_15 : a2_x2
2645
    PORT MAP (
2646
    vss => vss,
2647
    vdd => vdd,
2648
    q => r3(15),
2649
    i1 => p(12),
2650
    i0 => q(3));
2651
  r3_16 : a2_x2
2652
    PORT MAP (
2653
    vss => vss,
2654
    vdd => vdd,
2655
    q => r3(16),
2656
    i1 => p(13),
2657
    i0 => q(3));
2658
  r3_17 : a2_x2
2659
    PORT MAP (
2660
    vss => vss,
2661
    vdd => vdd,
2662
    q => r3(17),
2663
    i1 => p(14),
2664
    i0 => q(3));
2665
  r3_18 : a2_x2
2666
    PORT MAP (
2667
    vss => vss,
2668
    vdd => vdd,
2669
    q => r3(18),
2670
    i1 => p(15),
2671
    i0 => q(3));
2672
  r3_19 : a2_x2
2673
    PORT MAP (
2674
    vss => vss,
2675
    vdd => vdd,
2676
    q => r3(19),
2677
    i1 => p(16),
2678
    i0 => q(3));
2679
  r3_20 : zero_x0
2680
    PORT MAP (
2681
    vss => vss,
2682
    vdd => vdd,
2683
    nq => r3(20));
2684
  r3_21 : zero_x0
2685
    PORT MAP (
2686
    vss => vss,
2687
    vdd => vdd,
2688
    nq => r3(21));
2689
  r3_22 : zero_x0
2690
    PORT MAP (
2691
    vss => vss,
2692
    vdd => vdd,
2693
    nq => r3(22));
2694
  r3_23 : zero_x0
2695
    PORT MAP (
2696
    vss => vss,
2697
    vdd => vdd,
2698
    nq => r3(23));
2699
  r3_24 : zero_x0
2700
    PORT MAP (
2701
    vss => vss,
2702
    vdd => vdd,
2703
    nq => r3(24));
2704
  r3_25 : zero_x0
2705
    PORT MAP (
2706
    vss => vss,
2707
    vdd => vdd,
2708
    nq => r3(25));
2709
  r3_26 : zero_x0
2710
    PORT MAP (
2711
    vss => vss,
2712
    vdd => vdd,
2713
    nq => r3(26));
2714
  r3_27 : zero_x0
2715
    PORT MAP (
2716
    vss => vss,
2717
    vdd => vdd,
2718
    nq => r3(27));
2719
  r3_28 : zero_x0
2720
    PORT MAP (
2721
    vss => vss,
2722
    vdd => vdd,
2723
    nq => r3(28));
2724
  r3_29 : zero_x0
2725
    PORT MAP (
2726
    vss => vss,
2727
    vdd => vdd,
2728
    nq => r3(29));
2729
  r3_30 : zero_x0
2730
    PORT MAP (
2731
    vss => vss,
2732
    vdd => vdd,
2733
    nq => r3(30));
2734
  r3_31 : zero_x0
2735
    PORT MAP (
2736
    vss => vss,
2737
    vdd => vdd,
2738
    nq => r3(31));
2739
  r2_0 : zero_x0
2740
    PORT MAP (
2741
    vss => vss,
2742
    vdd => vdd,
2743
    nq => r2(0));
2744
  r2_1 : zero_x0
2745
    PORT MAP (
2746
    vss => vss,
2747
    vdd => vdd,
2748
    nq => r2(1));
2749
  r2_2 : a2_x2
2750
    PORT MAP (
2751
    vss => vss,
2752
    vdd => vdd,
2753
    q => r2(2),
2754
    i1 => p(0),
2755
    i0 => q(2));
2756
  r2_3 : a2_x2
2757
    PORT MAP (
2758
    vss => vss,
2759
    vdd => vdd,
2760
    q => r2(3),
2761
    i1 => p(1),
2762
    i0 => q(2));
2763
  r2_4 : a2_x2
2764
    PORT MAP (
2765
    vss => vss,
2766
    vdd => vdd,
2767
    q => r2(4),
2768
    i1 => p(2),
2769
    i0 => q(2));
2770
  r2_5 : a2_x2
2771
    PORT MAP (
2772
    vss => vss,
2773
    vdd => vdd,
2774
    q => r2(5),
2775
    i1 => p(3),
2776
    i0 => q(2));
2777
  r2_6 : a2_x2
2778
    PORT MAP (
2779
    vss => vss,
2780
    vdd => vdd,
2781
    q => r2(6),
2782
    i1 => p(4),
2783
    i0 => q(2));
2784
  r2_7 : a2_x2
2785
    PORT MAP (
2786
    vss => vss,
2787
    vdd => vdd,
2788
    q => r2(7),
2789
    i1 => p(5),
2790
    i0 => q(2));
2791
  r2_8 : a2_x2
2792
    PORT MAP (
2793
    vss => vss,
2794
    vdd => vdd,
2795
    q => r2(8),
2796
    i1 => p(6),
2797
    i0 => q(2));
2798
  r2_9 : a2_x2
2799
    PORT MAP (
2800
    vss => vss,
2801
    vdd => vdd,
2802
    q => r2(9),
2803
    i1 => p(7),
2804
    i0 => q(2));
2805
  r2_10 : a2_x2
2806
    PORT MAP (
2807
    vss => vss,
2808
    vdd => vdd,
2809
    q => r2(10),
2810
    i1 => p(8),
2811
    i0 => q(2));
2812
  r2_11 : a2_x2
2813
    PORT MAP (
2814
    vss => vss,
2815
    vdd => vdd,
2816
    q => r2(11),
2817
    i1 => p(9),
2818
    i0 => q(2));
2819
  r2_12 : a2_x2
2820
    PORT MAP (
2821
    vss => vss,
2822
    vdd => vdd,
2823
    q => r2(12),
2824
    i1 => p(10),
2825
    i0 => q(2));
2826
  r2_13 : a2_x2
2827
    PORT MAP (
2828
    vss => vss,
2829
    vdd => vdd,
2830
    q => r2(13),
2831
    i1 => p(11),
2832
    i0 => q(2));
2833
  r2_14 : a2_x2
2834
    PORT MAP (
2835
    vss => vss,
2836
    vdd => vdd,
2837
    q => r2(14),
2838
    i1 => p(12),
2839
    i0 => q(2));
2840
  r2_15 : a2_x2
2841
    PORT MAP (
2842
    vss => vss,
2843
    vdd => vdd,
2844
    q => r2(15),
2845
    i1 => p(13),
2846
    i0 => q(2));
2847
  r2_16 : a2_x2
2848
    PORT MAP (
2849
    vss => vss,
2850
    vdd => vdd,
2851
    q => r2(16),
2852
    i1 => p(14),
2853
    i0 => q(2));
2854
  r2_17 : a2_x2
2855
    PORT MAP (
2856
    vss => vss,
2857
    vdd => vdd,
2858
    q => r2(17),
2859
    i1 => p(15),
2860
    i0 => q(2));
2861
  r2_18 : a2_x2
2862
    PORT MAP (
2863
    vss => vss,
2864
    vdd => vdd,
2865
    q => r2(18),
2866
    i1 => p(16),
2867
    i0 => q(2));
2868
  r2_19 : zero_x0
2869
    PORT MAP (
2870
    vss => vss,
2871
    vdd => vdd,
2872
    nq => r2(19));
2873
  r2_20 : zero_x0
2874
    PORT MAP (
2875
    vss => vss,
2876
    vdd => vdd,
2877
    nq => r2(20));
2878
  r2_21 : zero_x0
2879
    PORT MAP (
2880
    vss => vss,
2881
    vdd => vdd,
2882
    nq => r2(21));
2883
  r2_22 : zero_x0
2884
    PORT MAP (
2885
    vss => vss,
2886
    vdd => vdd,
2887
    nq => r2(22));
2888
  r2_23 : zero_x0
2889
    PORT MAP (
2890
    vss => vss,
2891
    vdd => vdd,
2892
    nq => r2(23));
2893
  r2_24 : zero_x0
2894
    PORT MAP (
2895
    vss => vss,
2896
    vdd => vdd,
2897
    nq => r2(24));
2898
  r2_25 : zero_x0
2899
    PORT MAP (
2900
    vss => vss,
2901
    vdd => vdd,
2902
    nq => r2(25));
2903
  r2_26 : zero_x0
2904
    PORT MAP (
2905
    vss => vss,
2906
    vdd => vdd,
2907
    nq => r2(26));
2908
  r2_27 : zero_x0
2909
    PORT MAP (
2910
    vss => vss,
2911
    vdd => vdd,
2912
    nq => r2(27));
2913
  r2_28 : zero_x0
2914
    PORT MAP (
2915
    vss => vss,
2916
    vdd => vdd,
2917
    nq => r2(28));
2918
  r2_29 : zero_x0
2919
    PORT MAP (
2920
    vss => vss,
2921
    vdd => vdd,
2922
    nq => r2(29));
2923
  r2_30 : zero_x0
2924
    PORT MAP (
2925
    vss => vss,
2926
    vdd => vdd,
2927
    nq => r2(30));
2928
  r2_31 : zero_x0
2929
    PORT MAP (
2930
    vss => vss,
2931
    vdd => vdd,
2932
    nq => r2(31));
2933
  r1_0 : zero_x0
2934
    PORT MAP (
2935
    vss => vss,
2936
    vdd => vdd,
2937
    nq => r1(0));
2938
  r1_1 : a2_x2
2939
    PORT MAP (
2940
    vss => vss,
2941
    vdd => vdd,
2942
    q => r1(1),
2943
    i1 => p(0),
2944
    i0 => q(1));
2945
  r1_2 : a2_x2
2946
    PORT MAP (
2947
    vss => vss,
2948
    vdd => vdd,
2949
    q => r1(2),
2950
    i1 => p(1),
2951
    i0 => q(1));
2952
  r1_3 : a2_x2
2953
    PORT MAP (
2954
    vss => vss,
2955
    vdd => vdd,
2956
    q => r1(3),
2957
    i1 => p(2),
2958
    i0 => q(1));
2959
  r1_4 : a2_x2
2960
    PORT MAP (
2961
    vss => vss,
2962
    vdd => vdd,
2963
    q => r1(4),
2964
    i1 => p(3),
2965
    i0 => q(1));
2966
  r1_5 : a2_x2
2967
    PORT MAP (
2968
    vss => vss,
2969
    vdd => vdd,
2970
    q => r1(5),
2971
    i1 => p(4),
2972
    i0 => q(1));
2973
  r1_6 : a2_x2
2974
    PORT MAP (
2975
    vss => vss,
2976
    vdd => vdd,
2977
    q => r1(6),
2978
    i1 => p(5),
2979
    i0 => q(1));
2980
  r1_7 : a2_x2
2981
    PORT MAP (
2982
    vss => vss,
2983
    vdd => vdd,
2984
    q => r1(7),
2985
    i1 => p(6),
2986
    i0 => q(1));
2987
  r1_8 : a2_x2
2988
    PORT MAP (
2989
    vss => vss,
2990
    vdd => vdd,
2991
    q => r1(8),
2992
    i1 => p(7),
2993
    i0 => q(1));
2994
  r1_9 : a2_x2
2995
    PORT MAP (
2996
    vss => vss,
2997
    vdd => vdd,
2998
    q => r1(9),
2999
    i1 => p(8),
3000
    i0 => q(1));
3001
  r1_10 : a2_x2
3002
    PORT MAP (
3003
    vss => vss,
3004
    vdd => vdd,
3005
    q => r1(10),
3006
    i1 => p(9),
3007
    i0 => q(1));
3008
  r1_11 : a2_x2
3009
    PORT MAP (
3010
    vss => vss,
3011
    vdd => vdd,
3012
    q => r1(11),
3013
    i1 => p(10),
3014
    i0 => q(1));
3015
  r1_12 : a2_x2
3016
    PORT MAP (
3017
    vss => vss,
3018
    vdd => vdd,
3019
    q => r1(12),
3020
    i1 => p(11),
3021
    i0 => q(1));
3022
  r1_13 : a2_x2
3023
    PORT MAP (
3024
    vss => vss,
3025
    vdd => vdd,
3026
    q => r1(13),
3027
    i1 => p(12),
3028
    i0 => q(1));
3029
  r1_14 : a2_x2
3030
    PORT MAP (
3031
    vss => vss,
3032
    vdd => vdd,
3033
    q => r1(14),
3034
    i1 => p(13),
3035
    i0 => q(1));
3036
  r1_15 : a2_x2
3037
    PORT MAP (
3038
    vss => vss,
3039
    vdd => vdd,
3040
    q => r1(15),
3041
    i1 => p(14),
3042
    i0 => q(1));
3043
  r1_16 : a2_x2
3044
    PORT MAP (
3045
    vss => vss,
3046
    vdd => vdd,
3047
    q => r1(16),
3048
    i1 => p(15),
3049
    i0 => q(1));
3050
  r1_17 : a2_x2
3051
    PORT MAP (
3052
    vss => vss,
3053
    vdd => vdd,
3054
    q => r1(17),
3055
    i1 => p(16),
3056
    i0 => q(1));
3057
  r1_18 : zero_x0
3058
    PORT MAP (
3059
    vss => vss,
3060
    vdd => vdd,
3061
    nq => r1(18));
3062
  r1_19 : zero_x0
3063
    PORT MAP (
3064
    vss => vss,
3065
    vdd => vdd,
3066
    nq => r1(19));
3067
  r1_20 : zero_x0
3068
    PORT MAP (
3069
    vss => vss,
3070
    vdd => vdd,
3071
    nq => r1(20));
3072
  r1_21 : zero_x0
3073
    PORT MAP (
3074
    vss => vss,
3075
    vdd => vdd,
3076
    nq => r1(21));
3077
  r1_22 : zero_x0
3078
    PORT MAP (
3079
    vss => vss,
3080
    vdd => vdd,
3081
    nq => r1(22));
3082
  r1_23 : zero_x0
3083
    PORT MAP (
3084
    vss => vss,
3085
    vdd => vdd,
3086
    nq => r1(23));
3087
  r1_24 : zero_x0
3088
    PORT MAP (
3089
    vss => vss,
3090
    vdd => vdd,
3091
    nq => r1(24));
3092
  r1_25 : zero_x0
3093
    PORT MAP (
3094
    vss => vss,
3095
    vdd => vdd,
3096
    nq => r1(25));
3097
  r1_26 : zero_x0
3098
    PORT MAP (
3099
    vss => vss,
3100
    vdd => vdd,
3101
    nq => r1(26));
3102
  r1_27 : zero_x0
3103
    PORT MAP (
3104
    vss => vss,
3105
    vdd => vdd,
3106
    nq => r1(27));
3107
  r1_28 : zero_x0
3108
    PORT MAP (
3109
    vss => vss,
3110
    vdd => vdd,
3111
    nq => r1(28));
3112
  r1_29 : zero_x0
3113
    PORT MAP (
3114
    vss => vss,
3115
    vdd => vdd,
3116
    nq => r1(29));
3117
  r1_30 : zero_x0
3118
    PORT MAP (
3119
    vss => vss,
3120
    vdd => vdd,
3121
    nq => r1(30));
3122
  r1_31 : zero_x0
3123
    PORT MAP (
3124
    vss => vss,
3125
    vdd => vdd,
3126
    nq => r1(31));
3127
  r0_0 : a2_x2
3128
    PORT MAP (
3129
    vss => vss,
3130
    vdd => vdd,
3131
    q => r0(0),
3132
    i1 => p(0),
3133
    i0 => q(0));
3134
  r0_1 : a2_x2
3135
    PORT MAP (
3136
    vss => vss,
3137
    vdd => vdd,
3138
    q => r0(1),
3139
    i1 => p(1),
3140
    i0 => q(0));
3141
  r0_2 : a2_x2
3142
    PORT MAP (
3143
    vss => vss,
3144
    vdd => vdd,
3145
    q => r0(2),
3146
    i1 => p(2),
3147
    i0 => q(0));
3148
  r0_3 : a2_x2
3149
    PORT MAP (
3150
    vss => vss,
3151
    vdd => vdd,
3152
    q => r0(3),
3153
    i1 => p(3),
3154
    i0 => q(0));
3155
  r0_4 : a2_x2
3156
    PORT MAP (
3157
    vss => vss,
3158
    vdd => vdd,
3159
    q => r0(4),
3160
    i1 => p(4),
3161
    i0 => q(0));
3162
  r0_5 : a2_x2
3163
    PORT MAP (
3164
    vss => vss,
3165
    vdd => vdd,
3166
    q => r0(5),
3167
    i1 => p(5),
3168
    i0 => q(0));
3169
  r0_6 : a2_x2
3170
    PORT MAP (
3171
    vss => vss,
3172
    vdd => vdd,
3173
    q => r0(6),
3174
    i1 => p(6),
3175
    i0 => q(0));
3176
  r0_7 : a2_x2
3177
    PORT MAP (
3178
    vss => vss,
3179
    vdd => vdd,
3180
    q => r0(7),
3181
    i1 => p(7),
3182
    i0 => q(0));
3183
  r0_8 : a2_x2
3184
    PORT MAP (
3185
    vss => vss,
3186
    vdd => vdd,
3187
    q => r0(8),
3188
    i1 => p(8),
3189
    i0 => q(0));
3190
  r0_9 : a2_x2
3191
    PORT MAP (
3192
    vss => vss,
3193
    vdd => vdd,
3194
    q => r0(9),
3195
    i1 => p(9),
3196
    i0 => q(0));
3197
  r0_10 : a2_x2
3198
    PORT MAP (
3199
    vss => vss,
3200
    vdd => vdd,
3201
    q => r0(10),
3202
    i1 => p(10),
3203
    i0 => q(0));
3204
  r0_11 : a2_x2
3205
    PORT MAP (
3206
    vss => vss,
3207
    vdd => vdd,
3208
    q => r0(11),
3209
    i1 => p(11),
3210
    i0 => q(0));
3211
  r0_12 : a2_x2
3212
    PORT MAP (
3213
    vss => vss,
3214
    vdd => vdd,
3215
    q => r0(12),
3216
    i1 => p(12),
3217
    i0 => q(0));
3218
  r0_13 : a2_x2
3219
    PORT MAP (
3220
    vss => vss,
3221
    vdd => vdd,
3222
    q => r0(13),
3223
    i1 => p(13),
3224
    i0 => q(0));
3225
  r0_14 : a2_x2
3226
    PORT MAP (
3227
    vss => vss,
3228
    vdd => vdd,
3229
    q => r0(14),
3230
    i1 => p(14),
3231
    i0 => q(0));
3232
  r0_15 : a2_x2
3233
    PORT MAP (
3234
    vss => vss,
3235
    vdd => vdd,
3236
    q => r0(15),
3237
    i1 => p(15),
3238
    i0 => q(0));
3239
  r0_16 : a2_x2
3240
    PORT MAP (
3241
    vss => vss,
3242
    vdd => vdd,
3243
    q => r0(16),
3244
    i1 => p(16),
3245
    i0 => q(0));
3246
  r0_17 : zero_x0
3247
    PORT MAP (
3248
    vss => vss,
3249
    vdd => vdd,
3250
    nq => r0(17));
3251
  r0_18 : zero_x0
3252
    PORT MAP (
3253
    vss => vss,
3254
    vdd => vdd,
3255
    nq => r0(18));
3256
  r0_19 : zero_x0
3257
    PORT MAP (
3258
    vss => vss,
3259
    vdd => vdd,
3260
    nq => r0(19));
3261
  r0_20 : zero_x0
3262
    PORT MAP (
3263
    vss => vss,
3264
    vdd => vdd,
3265
    nq => r0(20));
3266
  r0_21 : zero_x0
3267
    PORT MAP (
3268
    vss => vss,
3269
    vdd => vdd,
3270
    nq => r0(21));
3271
  r0_22 : zero_x0
3272
    PORT MAP (
3273
    vss => vss,
3274
    vdd => vdd,
3275
    nq => r0(22));
3276
  r0_23 : zero_x0
3277
    PORT MAP (
3278
    vss => vss,
3279
    vdd => vdd,
3280
    nq => r0(23));
3281
  r0_24 : zero_x0
3282
    PORT MAP (
3283
    vss => vss,
3284
    vdd => vdd,
3285
    nq => r0(24));
3286
  r0_25 : zero_x0
3287
    PORT MAP (
3288
    vss => vss,
3289
    vdd => vdd,
3290
    nq => r0(25));
3291
  r0_26 : zero_x0
3292
    PORT MAP (
3293
    vss => vss,
3294
    vdd => vdd,
3295
    nq => r0(26));
3296
  r0_27 : zero_x0
3297
    PORT MAP (
3298
    vss => vss,
3299
    vdd => vdd,
3300
    nq => r0(27));
3301
  r0_28 : zero_x0
3302
    PORT MAP (
3303
    vss => vss,
3304
    vdd => vdd,
3305
    nq => r0(28));
3306
  r0_29 : zero_x0
3307
    PORT MAP (
3308
    vss => vss,
3309
    vdd => vdd,
3310
    nq => r0(29));
3311
  r0_30 : zero_x0
3312
    PORT MAP (
3313
    vss => vss,
3314
    vdd => vdd,
3315
    nq => r0(30));
3316
  r0_31 : zero_x0
3317
    PORT MAP (
3318
    vss => vss,
3319
    vdd => vdd,
3320
    nq => r0(31));
3321
 
3322
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.