OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [trunk/] [inout_port/] [data_out.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `data_out`
2
--              date : Mon Aug 27 07:18:14 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY data_out IS
8
  PORT (
9
  data64out : in BIT_VECTOR (63 DOWNTO 0);      -- data64out
10
  cp_ready : in BIT;    -- cp_ready
11
  emp_bufout : in BIT;  -- emp_bufout
12
  clk : in BIT; -- clk
13
  rst : in BIT; -- rst
14
  req_cp : out BIT;     -- req_cp
15
  cp_sended : out BIT;  -- cp_sended
16
  dataout : out BIT_VECTOR (31 DOWNTO 0);       -- dataout
17
  vdd : in BIT; -- vdd
18
  vss : in BIT  -- vss
19
  );
20
END data_out;
21
 
22
-- Architecture Declaration
23
 
24
ARCHITECTURE VST OF data_out IS
25
  COMPONENT mux2to1
26
    port (
27
    y : in BIT_VECTOR(63 DOWNTO 0);     -- y
28
    sel : in BIT;       -- sel
29
    clk : in BIT;       -- clk
30
    rst : in BIT;       -- rst
31
    cp : out BIT_VECTOR(31 DOWNTO 0);   -- cp
32
    vdd : in BIT;       -- vdd
33
    vss : in BIT        -- vss
34
    );
35
  END COMPONENT;
36
 
37
  COMPONENT control_dataout
38
    port (
39
    clk : in BIT;       -- clk
40
    rst : in BIT;       -- rst
41
    cp_ready : in BIT;  -- cp_ready
42
    emp_bufout : in BIT;        -- emp_bufout
43
    en_bufout : inout BIT;      -- en_bufout
44
    req_cp : out BIT;   -- req_cp
45
    cp_sended : out BIT;        -- cp_sended
46
    n_block : inout BIT;        -- n_block
47
    vdd : in BIT;       -- vdd
48
    vss : in BIT        -- vss
49
    );
50
  END COMPONENT;
51
 
52
  SIGNAL en_bufout : BIT;       -- en_bufout
53
  SIGNAL n_block : BIT; -- n_block
54
 
55
BEGIN
56
 
57
  mux : mux2to1
58
    PORT MAP (
59
    vss => vss,
60
    vdd => vdd,
61
    cp => dataout(31)& dataout(30)& dataout(29)& dataout(28)& dataout(27)& dataout(26)& dataout(25)& dataout(24)& dataout(23)& dataout(22)& dataout(21)& dataout(20)& dataout(19)& dataout(18)& dataout(17)& dataout(16)& dataout(15)& dataout(14)& dataout(13)& dataout(12)& dataout(11)& dataout(10)& dataout(9)& dataout(8)& dataout(7)& dataout(6)& dataout(5)& dataout(4)& dataout(3)& dataout(2)& dataout(1)& dataout(0),
62
    rst => rst,
63
    clk => en_bufout,
64
    sel => n_block,
65
    y => data64out(63)& data64out(62)& data64out(61)& data64out(60)& data64out(59)& data64out(58)& data64out(57)& data64out(56)& data64out(55)& data64out(54)& data64out(53)& data64out(52)& data64out(51)& data64out(50)& data64out(49)& data64out(48)& data64out(47)& data64out(46)& data64out(45)& data64out(44)& data64out(43)& data64out(42)& data64out(41)& data64out(40)& data64out(39)& data64out(38)& data64out(37)& data64out(36)& data64out(35)& data64out(34)& data64out(33)& data64out(32)& data64out(31)& data64out(30)& data64out(29)& data64out(28)& data64out(27)& data64out(26)& data64out(25)& data64out(24)& data64out(23)& data64out(22)& data64out(21)& data64out(20)& data64out(19)& data64out(18)& data64out(17)& data64out(16)& data64out(15)& data64out(14)& data64out(13)& data64out(12)& data64out(11)& data64out(10)& data64out(9)& data64out(8)& data64out(7)& data64out(6)& data64out(5)& data64out(4)& data64out(3)& data64out(2)& data64out(1)& data64out(0));
66
  ctrl_dtout : control_dataout
67
    PORT MAP (
68
    vss => vss,
69
    vdd => vdd,
70
    n_block => n_block,
71
    cp_sended => cp_sended,
72
    req_cp => req_cp,
73
    en_bufout => en_bufout,
74
    emp_bufout => emp_bufout,
75
    cp_ready => cp_ready,
76
    rst => rst,
77
    clk => clk);
78
 
79
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.