OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [trunk/] [key_regulator/] [ctr_enkey1.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `ctr_enkey1`
2
--              date : Thu Aug  2 10:12:54 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY ctr_enkey1 IS
8
  PORT (
9
  clk : in BIT; -- clk
10
  start : in BIT;       -- start
11
  rst : in BIT; -- rst
12
  qiu : inout BIT_VECTOR (2 DOWNTO 0);  -- qiu
13
  finish : out BIT;     -- finish
14
  en_shft : out BIT;    -- en_shft
15
  sel1 : out BIT;       -- sel1
16
  sel2 : out BIT;       -- sel2
17
  en_out : out BIT;     -- en_out
18
  vdd : in BIT; -- vdd
19
  vss : in BIT  -- vss
20
  );
21
END ctr_enkey1;
22
 
23
-- Architecture Declaration
24
 
25
ARCHITECTURE VST OF ctr_enkey1 IS
26
  COMPONENT ctr_enkey
27
    port (
28
    clk : in BIT;       -- clk
29
    rst : in BIT;       -- rst
30
    start : in BIT;     -- start
31
    count : in BIT_VECTOR(2 DOWNTO 0);  -- count
32
    en_shft : out BIT;  -- en_shft
33
    en_count : inout BIT;       -- en_count
34
    sel1 : out BIT;     -- sel1
35
    sel2 : out BIT;     -- sel2
36
    c_count : out BIT;  -- c_count
37
    finish : out BIT;   -- finish
38
    en_out : out BIT;   -- en_out
39
    vdd : in BIT;       -- vdd
40
    vss : in BIT        -- vss
41
    );
42
  END COMPONENT;
43
 
44
  COMPONENT count3_latch
45
    port (
46
    clk : in BIT;       -- clk
47
    en : in BIT;        -- en
48
    rst : in BIT;       -- rst
49
    q : out BIT_VECTOR(2 DOWNTO 0);     -- q
50
    vdd : in BIT;       -- vdd
51
    vss : in BIT        -- vss
52
    );
53
  END COMPONENT;
54
 
55
  SIGNAL count_ck : BIT;        -- count_ck
56
  SIGNAL count_clk : BIT;       -- count_clk
57
  SIGNAL count_en : BIT;        -- count_en
58
 
59
BEGIN
60
 
61
  ctr_enkey0 : ctr_enkey
62
    PORT MAP (
63
    vss => vss,
64
    vdd => vdd,
65
    en_out => en_out,
66
    finish => finish,
67
    c_count => count_ck,
68
    sel2 => sel2,
69
    sel1 => sel1,
70
    en_count => count_en,
71
    en_shft => en_shft,
72
    count => qiu(2)& qiu(1)& qiu(0),
73
    start => start,
74
    rst => rst,
75
    clk => clk);
76
  count1 : count3_latch
77
    PORT MAP (
78
    vss => vss,
79
    vdd => vdd,
80
    q => qiu(2)& qiu(1)& qiu(0),
81
    rst => rst,
82
    en => count_en,
83
    clk => count_clk);
84
 
85
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.