OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [trunk/] [key_regulator/] [leftshiftregister1.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `leftshiftregister1`
2
--              date : Tue Jul 31 10:16:12 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY leftshiftregister1 IS
8
  PORT (
9
  p : in BIT_VECTOR (16 DOWNTO 0);      -- p
10
  q : in BIT;   -- q
11
  r : out BIT_VECTOR (33 DOWNTO 0);     -- r
12
  vdd : in BIT; -- vdd
13
  vss : in BIT  -- vss
14
  );
15
END leftshiftregister1;
16
 
17
-- Architecture Declaration
18
 
19
ARCHITECTURE VST OF leftshiftregister1 IS
20
  COMPONENT a2_x2
21
    port (
22
    i0 : in BIT;        -- i0
23
    i1 : in BIT;        -- i1
24
    q : out BIT;        -- q
25
    vdd : in BIT;       -- vdd
26
    vss : in BIT        -- vss
27
    );
28
  END COMPONENT;
29
 
30
  COMPONENT zero_x0
31
    port (
32
    nq : out BIT;       -- nq
33
    vdd : in BIT;       -- vdd
34
    vss : in BIT        -- vss
35
    );
36
  END COMPONENT;
37
 
38
 
39
BEGIN
40
 
41
  r_0 : zero_x0
42
    PORT MAP (
43
    vss => vss,
44
    vdd => vdd,
45
    nq => r(0));
46
  r_1 : a2_x2
47
    PORT MAP (
48
    vss => vss,
49
    vdd => vdd,
50
    q => r(1),
51
    i1 => p(0),
52
    i0 => q);
53
  r_2 : a2_x2
54
    PORT MAP (
55
    vss => vss,
56
    vdd => vdd,
57
    q => r(2),
58
    i1 => p(1),
59
    i0 => q);
60
  r_3 : a2_x2
61
    PORT MAP (
62
    vss => vss,
63
    vdd => vdd,
64
    q => r(3),
65
    i1 => p(2),
66
    i0 => q);
67
  r_4 : a2_x2
68
    PORT MAP (
69
    vss => vss,
70
    vdd => vdd,
71
    q => r(4),
72
    i1 => p(3),
73
    i0 => q);
74
  r_5 : a2_x2
75
    PORT MAP (
76
    vss => vss,
77
    vdd => vdd,
78
    q => r(5),
79
    i1 => p(4),
80
    i0 => q);
81
  r_6 : a2_x2
82
    PORT MAP (
83
    vss => vss,
84
    vdd => vdd,
85
    q => r(6),
86
    i1 => p(5),
87
    i0 => q);
88
  r_7 : a2_x2
89
    PORT MAP (
90
    vss => vss,
91
    vdd => vdd,
92
    q => r(7),
93
    i1 => p(6),
94
    i0 => q);
95
  r_8 : a2_x2
96
    PORT MAP (
97
    vss => vss,
98
    vdd => vdd,
99
    q => r(8),
100
    i1 => p(7),
101
    i0 => q);
102
  r_9 : a2_x2
103
    PORT MAP (
104
    vss => vss,
105
    vdd => vdd,
106
    q => r(9),
107
    i1 => p(8),
108
    i0 => q);
109
  r_10 : a2_x2
110
    PORT MAP (
111
    vss => vss,
112
    vdd => vdd,
113
    q => r(10),
114
    i1 => p(9),
115
    i0 => q);
116
  r_11 : a2_x2
117
    PORT MAP (
118
    vss => vss,
119
    vdd => vdd,
120
    q => r(11),
121
    i1 => p(10),
122
    i0 => q);
123
  r_12 : a2_x2
124
    PORT MAP (
125
    vss => vss,
126
    vdd => vdd,
127
    q => r(12),
128
    i1 => p(11),
129
    i0 => q);
130
  r_13 : a2_x2
131
    PORT MAP (
132
    vss => vss,
133
    vdd => vdd,
134
    q => r(13),
135
    i1 => p(12),
136
    i0 => q);
137
  r_14 : a2_x2
138
    PORT MAP (
139
    vss => vss,
140
    vdd => vdd,
141
    q => r(14),
142
    i1 => p(13),
143
    i0 => q);
144
  r_15 : a2_x2
145
    PORT MAP (
146
    vss => vss,
147
    vdd => vdd,
148
    q => r(15),
149
    i1 => p(14),
150
    i0 => q);
151
  r_16 : a2_x2
152
    PORT MAP (
153
    vss => vss,
154
    vdd => vdd,
155
    q => r(16),
156
    i1 => p(15),
157
    i0 => q);
158
  r_17 : a2_x2
159
    PORT MAP (
160
    vss => vss,
161
    vdd => vdd,
162
    q => r(17),
163
    i1 => p(16),
164
    i0 => q);
165
  r_18 : zero_x0
166
    PORT MAP (
167
    vss => vss,
168
    vdd => vdd,
169
    nq => r(18));
170
  r_19 : zero_x0
171
    PORT MAP (
172
    vss => vss,
173
    vdd => vdd,
174
    nq => r(19));
175
  r_20 : zero_x0
176
    PORT MAP (
177
    vss => vss,
178
    vdd => vdd,
179
    nq => r(20));
180
  r_21 : zero_x0
181
    PORT MAP (
182
    vss => vss,
183
    vdd => vdd,
184
    nq => r(21));
185
  r_22 : zero_x0
186
    PORT MAP (
187
    vss => vss,
188
    vdd => vdd,
189
    nq => r(22));
190
  r_23 : zero_x0
191
    PORT MAP (
192
    vss => vss,
193
    vdd => vdd,
194
    nq => r(23));
195
  r_24 : zero_x0
196
    PORT MAP (
197
    vss => vss,
198
    vdd => vdd,
199
    nq => r(24));
200
  r_25 : zero_x0
201
    PORT MAP (
202
    vss => vss,
203
    vdd => vdd,
204
    nq => r(25));
205
  r_26 : zero_x0
206
    PORT MAP (
207
    vss => vss,
208
    vdd => vdd,
209
    nq => r(26));
210
  r_27 : zero_x0
211
    PORT MAP (
212
    vss => vss,
213
    vdd => vdd,
214
    nq => r(27));
215
  r_28 : zero_x0
216
    PORT MAP (
217
    vss => vss,
218
    vdd => vdd,
219
    nq => r(28));
220
  r_29 : zero_x0
221
    PORT MAP (
222
    vss => vss,
223
    vdd => vdd,
224
    nq => r(29));
225
  r_30 : zero_x0
226
    PORT MAP (
227
    vss => vss,
228
    vdd => vdd,
229
    nq => r(30));
230
  r_31 : zero_x0
231
    PORT MAP (
232
    vss => vss,
233
    vdd => vdd,
234
    nq => r(31));
235
  r_32 : zero_x0
236
    PORT MAP (
237
    vss => vss,
238
    vdd => vdd,
239
    nq => r(32));
240
  r_33 : zero_x0
241
    PORT MAP (
242
    vss => vss,
243
    vdd => vdd,
244
    nq => r(33));
245
 
246
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.