OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [trunk/] [key_regulator/] [substract.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `substract`
2
--              date : Mon Jul 30 16:47:44 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY substract IS
8
  PORT (
9
  a : in BIT;   -- a
10
  b : in BIT;   -- b
11
  bin : in BIT; -- bin
12
  diff : out BIT;       -- diff
13
  bout : out BIT;       -- bout
14
  vdd : in BIT; -- vdd
15
  vss : in BIT  -- vss
16
  );
17
END substract;
18
 
19
-- Architecture Declaration
20
 
21
ARCHITECTURE VST OF substract IS
22
  COMPONENT nao2o22_x1
23
    port (
24
    i0 : in BIT;        -- i0
25
    i1 : in BIT;        -- i1
26
    i2 : in BIT;        -- i2
27
    i3 : in BIT;        -- i3
28
    nq : out BIT;       -- nq
29
    vdd : in BIT;       -- vdd
30
    vss : in BIT        -- vss
31
    );
32
  END COMPONENT;
33
 
34
  COMPONENT xr2_x1
35
    port (
36
    i0 : in BIT;        -- i0
37
    i1 : in BIT;        -- i1
38
    q : out BIT;        -- q
39
    vdd : in BIT;       -- vdd
40
    vss : in BIT        -- vss
41
    );
42
  END COMPONENT;
43
 
44
  COMPONENT an12_x1
45
    port (
46
    i0 : in BIT;        -- i0
47
    i1 : in BIT;        -- i1
48
    q : out BIT;        -- q
49
    vdd : in BIT;       -- vdd
50
    vss : in BIT        -- vss
51
    );
52
  END COMPONENT;
53
 
54
  COMPONENT inv_x1
55
    port (
56
    i : in BIT; -- i
57
    nq : out BIT;       -- nq
58
    vdd : in BIT;       -- vdd
59
    vss : in BIT        -- vss
60
    );
61
  END COMPONENT;
62
 
63
  SIGNAL auxsc7 : BIT;  -- auxsc7
64
  SIGNAL auxsc8 : BIT;  -- auxsc8
65
  SIGNAL auxsc9 : BIT;  -- auxsc9
66
  SIGNAL auxsc1 : BIT;  -- auxsc1
67
 
68
BEGIN
69
 
70
  bout : nao2o22_x1
71
    PORT MAP (
72
    vss => vss,
73
    vdd => vdd,
74
    nq => bout,
75
    i3 => auxsc9,
76
    i2 => a,
77
    i1 => auxsc8,
78
    i0 => auxsc7);
79
  diff : xr2_x1
80
    PORT MAP (
81
    vss => vss,
82
    vdd => vdd,
83
    q => diff,
84
    i1 => auxsc1,
85
    i0 => bin);
86
  auxsc1 : xr2_x1
87
    PORT MAP (
88
    vss => vss,
89
    vdd => vdd,
90
    q => auxsc1,
91
    i1 => a,
92
    i0 => b);
93
  auxsc9 : inv_x1
94
    PORT MAP (
95
    vss => vss,
96
    vdd => vdd,
97
    nq => auxsc9,
98
    i => b);
99
  auxsc8 : an12_x1
100
    PORT MAP (
101
    vss => vss,
102
    vdd => vdd,
103
    q => auxsc8,
104
    i1 => a,
105
    i0 => b);
106
  auxsc7 : inv_x1
107
    PORT MAP (
108
    vss => vss,
109
    vdd => vdd,
110
    nq => auxsc7,
111
    i => bin);
112
 
113
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.