OpenCores
URL https://opencores.org/ocsvn/structural_vhdl/structural_vhdl/trunk

Subversion Repositories structural_vhdl

[/] [structural_vhdl/] [trunk/] [main control/] [ofb.vst] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marta
-- VHDL structural description generated from `ofb`
2
--              date : Sat Sep  1 20:21:13 2001
3
 
4
 
5
-- Entity Declaration
6
 
7
ENTITY ofb IS
8
  PORT (
9
  active : in BIT;      -- active
10
  clk : in BIT; -- clk
11
  key_ready : in BIT;   -- key_ready
12
  dt_ready : in BIT;    -- dt_ready
13
  finish : in BIT;      -- finish
14
  first_dt : inout BIT; -- first_dt
15
  e_mesin : out BIT;    -- e_mesin
16
  s_mesin : out BIT;    -- s_mesin
17
  emp_buf : inout BIT;  -- emp_buf
18
  cp_ready : out BIT;   -- cp_ready
19
  cke_b_mode : inout BIT;       -- cke_b_mode
20
  en_in : out BIT;      -- en_in
21
  en_iv : out BIT;      -- en_iv
22
  en_rcbc : out BIT;    -- en_rcbc
23
  en_out : out BIT;     -- en_out
24
  sel1 : out BIT_VECTOR (1 DOWNTO 0);   -- sel1
25
  sel2 : out BIT_VECTOR (1 DOWNTO 0);   -- sel2
26
  sel3 : out BIT_VECTOR (1 DOWNTO 0);   -- sel3
27
  vdd : in BIT; -- vdd
28
  vss : in BIT  -- vss
29
  );
30
END ofb;
31
 
32
-- Architecture Declaration
33
 
34
ARCHITECTURE VST OF ofb IS
35
  COMPONENT nao2o22_x1
36
    port (
37
    i0 : in BIT;        -- i0
38
    i1 : in BIT;        -- i1
39
    i2 : in BIT;        -- i2
40
    i3 : in BIT;        -- i3
41
    nq : out BIT;       -- nq
42
    vdd : in BIT;       -- vdd
43
    vss : in BIT        -- vss
44
    );
45
  END COMPONENT;
46
 
47
  COMPONENT ao2o22_x2
48
    port (
49
    i0 : in BIT;        -- i0
50
    i1 : in BIT;        -- i1
51
    i2 : in BIT;        -- i2
52
    i3 : in BIT;        -- i3
53
    q : out BIT;        -- q
54
    vdd : in BIT;       -- vdd
55
    vss : in BIT        -- vss
56
    );
57
  END COMPONENT;
58
 
59
  COMPONENT zero_x0
60
    port (
61
    nq : out BIT;       -- nq
62
    vdd : in BIT;       -- vdd
63
    vss : in BIT        -- vss
64
    );
65
  END COMPONENT;
66
 
67
  COMPONENT one_x0
68
    port (
69
    q : out BIT;        -- q
70
    vdd : in BIT;       -- vdd
71
    vss : in BIT        -- vss
72
    );
73
  END COMPONENT;
74
 
75
  COMPONENT oa22_x2
76
    port (
77
    i0 : in BIT;        -- i0
78
    i1 : in BIT;        -- i1
79
    i2 : in BIT;        -- i2
80
    q : out BIT;        -- q
81
    vdd : in BIT;       -- vdd
82
    vss : in BIT        -- vss
83
    );
84
  END COMPONENT;
85
 
86
  COMPONENT no4_x1
87
    port (
88
    i0 : in BIT;        -- i0
89
    i1 : in BIT;        -- i1
90
    i2 : in BIT;        -- i2
91
    i3 : in BIT;        -- i3
92
    nq : out BIT;       -- nq
93
    vdd : in BIT;       -- vdd
94
    vss : in BIT        -- vss
95
    );
96
  END COMPONENT;
97
 
98
  COMPONENT na4_x1
99
    port (
100
    i0 : in BIT;        -- i0
101
    i1 : in BIT;        -- i1
102
    i2 : in BIT;        -- i2
103
    i3 : in BIT;        -- i3
104
    nq : out BIT;       -- nq
105
    vdd : in BIT;       -- vdd
106
    vss : in BIT        -- vss
107
    );
108
  END COMPONENT;
109
 
110
  COMPONENT oa2a2a2a24_x2
111
    port (
112
    i0 : in BIT;        -- i0
113
    i1 : in BIT;        -- i1
114
    i2 : in BIT;        -- i2
115
    i3 : in BIT;        -- i3
116
    i4 : in BIT;        -- i4
117
    i5 : in BIT;        -- i5
118
    i6 : in BIT;        -- i6
119
    i7 : in BIT;        -- i7
120
    q : out BIT;        -- q
121
    vdd : in BIT;       -- vdd
122
    vss : in BIT        -- vss
123
    );
124
  END COMPONENT;
125
 
126
  COMPONENT on12_x1
127
    port (
128
    i0 : in BIT;        -- i0
129
    i1 : in BIT;        -- i1
130
    q : out BIT;        -- q
131
    vdd : in BIT;       -- vdd
132
    vss : in BIT        -- vss
133
    );
134
  END COMPONENT;
135
 
136
  COMPONENT nxr2_x1
137
    port (
138
    i0 : in BIT;        -- i0
139
    i1 : in BIT;        -- i1
140
    nq : out BIT;       -- nq
141
    vdd : in BIT;       -- vdd
142
    vss : in BIT        -- vss
143
    );
144
  END COMPONENT;
145
 
146
  COMPONENT no2_x1
147
    port (
148
    i0 : in BIT;        -- i0
149
    i1 : in BIT;        -- i1
150
    nq : out BIT;       -- nq
151
    vdd : in BIT;       -- vdd
152
    vss : in BIT        -- vss
153
    );
154
  END COMPONENT;
155
 
156
  COMPONENT o3_x2
157
    port (
158
    i0 : in BIT;        -- i0
159
    i1 : in BIT;        -- i1
160
    i2 : in BIT;        -- i2
161
    q : out BIT;        -- q
162
    vdd : in BIT;       -- vdd
163
    vss : in BIT        -- vss
164
    );
165
  END COMPONENT;
166
 
167
  COMPONENT a3_x2
168
    port (
169
    i0 : in BIT;        -- i0
170
    i1 : in BIT;        -- i1
171
    i2 : in BIT;        -- i2
172
    q : out BIT;        -- q
173
    vdd : in BIT;       -- vdd
174
    vss : in BIT        -- vss
175
    );
176
  END COMPONENT;
177
 
178
  COMPONENT na3_x1
179
    port (
180
    i0 : in BIT;        -- i0
181
    i1 : in BIT;        -- i1
182
    i2 : in BIT;        -- i2
183
    nq : out BIT;       -- nq
184
    vdd : in BIT;       -- vdd
185
    vss : in BIT        -- vss
186
    );
187
  END COMPONENT;
188
 
189
  COMPONENT an12_x1
190
    port (
191
    i0 : in BIT;        -- i0
192
    i1 : in BIT;        -- i1
193
    q : out BIT;        -- q
194
    vdd : in BIT;       -- vdd
195
    vss : in BIT        -- vss
196
    );
197
  END COMPONENT;
198
 
199
  COMPONENT no3_x1
200
    port (
201
    i0 : in BIT;        -- i0
202
    i1 : in BIT;        -- i1
203
    i2 : in BIT;        -- i2
204
    nq : out BIT;       -- nq
205
    vdd : in BIT;       -- vdd
206
    vss : in BIT        -- vss
207
    );
208
  END COMPONENT;
209
 
210
  COMPONENT o4_x2
211
    port (
212
    i0 : in BIT;        -- i0
213
    i1 : in BIT;        -- i1
214
    i2 : in BIT;        -- i2
215
    i3 : in BIT;        -- i3
216
    q : out BIT;        -- q
217
    vdd : in BIT;       -- vdd
218
    vss : in BIT        -- vss
219
    );
220
  END COMPONENT;
221
 
222
  COMPONENT inv_x1
223
    port (
224
    i : in BIT; -- i
225
    nq : out BIT;       -- nq
226
    vdd : in BIT;       -- vdd
227
    vss : in BIT        -- vss
228
    );
229
  END COMPONENT;
230
 
231
  COMPONENT o2_x2
232
    port (
233
    i0 : in BIT;        -- i0
234
    i1 : in BIT;        -- i1
235
    q : out BIT;        -- q
236
    vdd : in BIT;       -- vdd
237
    vss : in BIT        -- vss
238
    );
239
  END COMPONENT;
240
 
241
  COMPONENT na2_x1
242
    port (
243
    i0 : in BIT;        -- i0
244
    i1 : in BIT;        -- i1
245
    nq : out BIT;       -- nq
246
    vdd : in BIT;       -- vdd
247
    vss : in BIT        -- vss
248
    );
249
  END COMPONENT;
250
 
251
  COMPONENT nao22_x1
252
    port (
253
    i0 : in BIT;        -- i0
254
    i1 : in BIT;        -- i1
255
    i2 : in BIT;        -- i2
256
    nq : out BIT;       -- nq
257
    vdd : in BIT;       -- vdd
258
    vss : in BIT        -- vss
259
    );
260
  END COMPONENT;
261
 
262
  COMPONENT ao22_x2
263
    port (
264
    i0 : in BIT;        -- i0
265
    i1 : in BIT;        -- i1
266
    i2 : in BIT;        -- i2
267
    q : out BIT;        -- q
268
    vdd : in BIT;       -- vdd
269
    vss : in BIT        -- vss
270
    );
271
  END COMPONENT;
272
 
273
  COMPONENT a2_x2
274
    port (
275
    i0 : in BIT;        -- i0
276
    i1 : in BIT;        -- i1
277
    q : out BIT;        -- q
278
    vdd : in BIT;       -- vdd
279
    vss : in BIT        -- vss
280
    );
281
  END COMPONENT;
282
 
283
  COMPONENT a4_x2
284
    port (
285
    i0 : in BIT;        -- i0
286
    i1 : in BIT;        -- i1
287
    i2 : in BIT;        -- i2
288
    i3 : in BIT;        -- i3
289
    q : out BIT;        -- q
290
    vdd : in BIT;       -- vdd
291
    vss : in BIT        -- vss
292
    );
293
  END COMPONENT;
294
 
295
  COMPONENT sff1_x4
296
    port (
297
    ck : in BIT;        -- ck
298
    i : in BIT; -- i
299
    q : out BIT;        -- q
300
    vdd : in BIT;       -- vdd
301
    vss : in BIT        -- vss
302
    );
303
  END COMPONENT;
304
 
305
  SIGNAL aux53_a : BIT; -- aux53_a
306
  SIGNAL aux56_a : BIT; -- aux56_a
307
  SIGNAL aux64_a : BIT; -- aux64_a
308
  SIGNAL aux66_a : BIT; -- aux66_a
309
  SIGNAL aux70_a : BIT; -- aux70_a
310
  SIGNAL auxsc2 : BIT;  -- auxsc2
311
  SIGNAL auxsc1 : BIT;  -- auxsc1
312
  SIGNAL auxsc59 : BIT; -- auxsc59
313
  SIGNAL auxsc63 : BIT; -- auxsc63
314
  SIGNAL auxsc64 : BIT; -- auxsc64
315
  SIGNAL auxsc94 : BIT; -- auxsc94
316
  SIGNAL auxsc93 : BIT; -- auxsc93
317
  SIGNAL auxsc117 : BIT;        -- auxsc117
318
  SIGNAL auxsc116 : BIT;        -- auxsc116
319
  SIGNAL auxsc12 : BIT; -- auxsc12
320
  SIGNAL auxsc118 : BIT;        -- auxsc118
321
  SIGNAL auxsc102 : BIT;        -- auxsc102
322
  SIGNAL auxsc100 : BIT;        -- auxsc100
323
  SIGNAL auxsc107 : BIT;        -- auxsc107
324
  SIGNAL auxsc108 : BIT;        -- auxsc108
325
  SIGNAL auxsc109 : BIT;        -- auxsc109
326
  SIGNAL auxsc110 : BIT;        -- auxsc110
327
  SIGNAL auxsc81 : BIT; -- auxsc81
328
  SIGNAL auxsc125 : BIT;        -- auxsc125
329
  SIGNAL auxsc126 : BIT;        -- auxsc126
330
  SIGNAL auxsc127 : BIT;        -- auxsc127
331
  SIGNAL auxsc128 : BIT;        -- auxsc128
332
  SIGNAL auxsc124 : BIT;        -- auxsc124
333
  SIGNAL auxsc129 : BIT;        -- auxsc129
334
  SIGNAL auxsc130 : BIT;        -- auxsc130
335
  SIGNAL auxsc153 : BIT;        -- auxsc153
336
  SIGNAL auxsc152 : BIT;        -- auxsc152
337
  SIGNAL auxsc52 : BIT; -- auxsc52
338
  SIGNAL auxsc141 : BIT;        -- auxsc141
339
  SIGNAL auxsc137 : BIT;        -- auxsc137
340
  SIGNAL auxsc143 : BIT;        -- auxsc143
341
  SIGNAL auxsc82 : BIT; -- auxsc82
342
  SIGNAL auxsc144 : BIT;        -- auxsc144
343
  SIGNAL auxsc145 : BIT;        -- auxsc145
344
  SIGNAL auxsc146 : BIT;        -- auxsc146
345
  SIGNAL auxsc140 : BIT;        -- auxsc140
346
  SIGNAL auxsc159 : BIT;        -- auxsc159
347
  SIGNAL auxsc174 : BIT;        -- auxsc174
348
  SIGNAL auxsc171 : BIT;        -- auxsc171
349
  SIGNAL auxsc164 : BIT;        -- auxsc164
350
  SIGNAL auxsc172 : BIT;        -- auxsc172
351
  SIGNAL auxsc173 : BIT;        -- auxsc173
352
  SIGNAL auxsc169 : BIT;        -- auxsc169
353
  SIGNAL auxsc23 : BIT; -- auxsc23
354
  SIGNAL auxsc24 : BIT; -- auxsc24
355
  SIGNAL auxsc25 : BIT; -- auxsc25
356
  SIGNAL auxsc18 : BIT; -- auxsc18
357
  SIGNAL auxsc15 : BIT; -- auxsc15
358
  SIGNAL auxsc16 : BIT; -- auxsc16
359
  SIGNAL auxsc17 : BIT; -- auxsc17
360
  SIGNAL auxsc14 : BIT; -- auxsc14
361
  SIGNAL auxsc45 : BIT; -- auxsc45
362
  SIGNAL auxsc46 : BIT; -- auxsc46
363
  SIGNAL auxsc32 : BIT; -- auxsc32
364
  SIGNAL auxsc47 : BIT; -- auxsc47
365
  SIGNAL auxsc43 : BIT; -- auxsc43
366
  SIGNAL auxsc48 : BIT; -- auxsc48
367
  SIGNAL auxsc49 : BIT; -- auxsc49
368
  SIGNAL auxsc66 : BIT; -- auxsc66
369
  SIGNAL auxsc67 : BIT; -- auxsc67
370
  SIGNAL auxsc65 : BIT; -- auxsc65
371
  SIGNAL auxsc56 : BIT; -- auxsc56
372
  SIGNAL auxsc62 : BIT; -- auxsc62
373
  SIGNAL auxsc60 : BIT; -- auxsc60
374
  SIGNAL auxsc74 : BIT; -- auxsc74
375
  SIGNAL auxsc83 : BIT; -- auxsc83
376
  SIGNAL auxsc84 : BIT; -- auxsc84
377
  SIGNAL auxsc85 : BIT; -- auxsc85
378
  SIGNAL auxsc86 : BIT; -- auxsc86
379
  SIGNAL auxreg4 : BIT; -- auxreg4
380
  SIGNAL auxreg3 : BIT; -- auxreg3
381
  SIGNAL auxreg2 : BIT; -- auxreg2
382
  SIGNAL auxreg1 : BIT; -- auxreg1
383
 
384
BEGIN
385
 
386
  sel3_1 : nao2o22_x1
387
    PORT MAP (
388
    vss => vss,
389
    vdd => vdd,
390
    nq => sel3(1),
391
    i3 => auxsc129,
392
    i2 => auxsc12,
393
    i1 => auxsc107,
394
    i0 => aux56_a);
395
  sel2_1 : ao2o22_x2
396
    PORT MAP (
397
    vss => vss,
398
    vdd => vdd,
399
    q => sel2(1),
400
    i3 => auxsc117,
401
    i2 => auxreg2,
402
    i1 => auxreg4,
403
    i0 => auxsc130);
404
  sel1_0 : a4_x2
405
    PORT MAP (
406
    vss => vss,
407
    vdd => vdd,
408
    q => sel1(0),
409
    i3 => auxsc152,
410
    i2 => auxsc1,
411
    i1 => auxsc2,
412
    i0 => cke_b_mode);
413
  sel1_1 : o4_x2
414
    PORT MAP (
415
    vss => vss,
416
    vdd => vdd,
417
    q => sel1(1),
418
    i3 => auxsc140,
419
    i2 => auxreg3,
420
    i1 => auxsc52,
421
    i0 => active);
422
  en_out : no4_x1
423
    PORT MAP (
424
    vss => vss,
425
    vdd => vdd,
426
    nq => en_out,
427
    i3 => auxreg4,
428
    i2 => auxsc1,
429
    i1 => auxsc12,
430
    i0 => auxsc116);
431
  en_rcbc : zero_x0
432
    PORT MAP (
433
    vss => vss,
434
    vdd => vdd,
435
    nq => en_rcbc);
436
  en_iv : oa22_x2
437
    PORT MAP (
438
    vss => vss,
439
    vdd => vdd,
440
    q => en_iv,
441
    i2 => aux66_a,
442
    i1 => auxreg4,
443
    i0 => auxsc159);
444
  en_in : inv_x1
445
    PORT MAP (
446
    vss => vss,
447
    vdd => vdd,
448
    nq => en_in,
449
    i => auxsc174);
450
  cp_ready : a4_x2
451
    PORT MAP (
452
    vss => vss,
453
    vdd => vdd,
454
    q => cp_ready,
455
    i3 => auxreg4,
456
    i2 => auxreg2,
457
    i1 => auxsc2,
458
    i0 => cke_b_mode);
459
  s_mesin : a2_x2
460
    PORT MAP (
461
    vss => vss,
462
    vdd => vdd,
463
    q => s_mesin,
464
    i1 => auxsc169,
465
    i0 => auxsc12);
466
  e_mesin : one_x0
467
    PORT MAP (
468
    vss => vss,
469
    vdd => vdd,
470
    q => e_mesin);
471
  first_dt : nao22_x1
472
    PORT MAP (
473
    vss => vss,
474
    vdd => vdd,
475
    nq => first_dt,
476
    i2 => cke_b_mode,
477
    i1 => auxsc25,
478
    i0 => auxsc23);
479
  auxsc86 : no2_x1
480
    PORT MAP (
481
    vss => vss,
482
    vdd => vdd,
483
    nq => auxsc86,
484
    i1 => auxsc85,
485
    i0 => active);
486
  auxsc85 : oa22_x2
487
    PORT MAP (
488
    vss => vss,
489
    vdd => vdd,
490
    q => auxsc85,
491
    i2 => auxsc84,
492
    i1 => auxreg3,
493
    i0 => auxsc12);
494
  auxsc84 : nao22_x1
495
    PORT MAP (
496
    vss => vss,
497
    vdd => vdd,
498
    nq => auxsc84,
499
    i2 => auxsc83,
500
    i1 => auxreg1,
501
    i0 => auxreg4);
502
  auxsc83 : o3_x2
503
    PORT MAP (
504
    vss => vss,
505
    vdd => vdd,
506
    q => auxsc83,
507
    i2 => auxreg2,
508
    i1 => auxsc82,
509
    i0 => auxreg4);
510
  auxsc74 : o4_x2
511
    PORT MAP (
512
    vss => vss,
513
    vdd => vdd,
514
    q => auxsc74,
515
    i3 => auxsc60,
516
    i2 => auxsc52,
517
    i1 => auxsc56,
518
    i0 => auxsc65);
519
  auxsc60 : a2_x2
520
    PORT MAP (
521
    vss => vss,
522
    vdd => vdd,
523
    q => auxsc60,
524
    i1 => auxsc62,
525
    i0 => auxsc59);
526
  auxsc62 : no4_x1
527
    PORT MAP (
528
    vss => vss,
529
    vdd => vdd,
530
    nq => auxsc62,
531
    i3 => auxreg2,
532
    i2 => auxreg1,
533
    i1 => auxsc64,
534
    i0 => auxsc63);
535
  auxsc56 : no3_x1
536
    PORT MAP (
537
    vss => vss,
538
    vdd => vdd,
539
    nq => auxsc56,
540
    i2 => auxreg2,
541
    i1 => auxreg1,
542
    i0 => auxsc1);
543
  auxsc65 : o3_x2
544
    PORT MAP (
545
    vss => vss,
546
    vdd => vdd,
547
    q => auxsc65,
548
    i2 => auxsc67,
549
    i1 => auxsc66,
550
    i0 => active);
551
  auxsc67 : an12_x1
552
    PORT MAP (
553
    vss => vss,
554
    vdd => vdd,
555
    q => auxsc67,
556
    i1 => auxreg1,
557
    i0 => auxsc24);
558
  auxsc66 : an12_x1
559
    PORT MAP (
560
    vss => vss,
561
    vdd => vdd,
562
    q => auxsc66,
563
    i1 => auxsc1,
564
    i0 => auxsc24);
565
  auxsc49 : na4_x1
566
    PORT MAP (
567
    vss => vss,
568
    vdd => vdd,
569
    nq => auxsc49,
570
    i3 => auxsc48,
571
    i2 => auxsc47,
572
    i1 => auxsc46,
573
    i0 => auxsc45);
574
  auxsc48 : o3_x2
575
    PORT MAP (
576
    vss => vss,
577
    vdd => vdd,
578
    q => auxsc48,
579
    i2 => auxsc43,
580
    i1 => auxreg4,
581
    i0 => auxreg3);
582
  auxsc43 : na4_x1
583
    PORT MAP (
584
    vss => vss,
585
    vdd => vdd,
586
    nq => auxsc43,
587
    i3 => first_dt,
588
    i2 => auxsc2,
589
    i1 => key_ready,
590
    i0 => dt_ready);
591
  auxsc47 : a2_x2
592
    PORT MAP (
593
    vss => vss,
594
    vdd => vdd,
595
    q => auxsc47,
596
    i1 => auxsc32,
597
    i0 => cke_b_mode);
598
  auxsc32 : na2_x1
599
    PORT MAP (
600
    vss => vss,
601
    vdd => vdd,
602
    nq => auxsc32,
603
    i1 => auxreg1,
604
    i0 => auxreg4);
605
  auxsc46 : na3_x1
606
    PORT MAP (
607
    vss => vss,
608
    vdd => vdd,
609
    nq => auxsc46,
610
    i2 => aux70_a,
611
    i1 => auxreg3,
612
    i0 => auxreg2);
613
  auxsc45 : na3_x1
614
    PORT MAP (
615
    vss => vss,
616
    vdd => vdd,
617
    nq => auxsc45,
618
    i2 => auxsc2,
619
    i1 => auxsc1,
620
    i0 => auxreg2);
621
  auxsc14 : oa2a2a2a24_x2
622
    PORT MAP (
623
    vss => vss,
624
    vdd => vdd,
625
    q => auxsc14,
626
    i7 => auxsc17,
627
    i6 => auxreg2,
628
    i5 => auxsc16,
629
    i4 => auxreg3,
630
    i3 => auxsc15,
631
    i2 => aux53_a,
632
    i1 => cke_b_mode,
633
    i0 => auxreg4);
634
  auxsc17 : a3_x2
635
    PORT MAP (
636
    vss => vss,
637
    vdd => vdd,
638
    q => auxsc17,
639
    i2 => auxsc2,
640
    i1 => auxsc1,
641
    i0 => cke_b_mode);
642
  auxsc16 : a2_x2
643
    PORT MAP (
644
    vss => vss,
645
    vdd => vdd,
646
    q => auxsc16,
647
    i1 => auxsc12,
648
    i0 => cke_b_mode);
649
  auxsc15 : a2_x2
650
    PORT MAP (
651
    vss => vss,
652
    vdd => vdd,
653
    q => auxsc15,
654
    i1 => aux64_a,
655
    i0 => auxsc12);
656
  auxsc18 : inv_x1
657
    PORT MAP (
658
    vss => vss,
659
    vdd => vdd,
660
    nq => auxsc18,
661
    i => clk);
662
  auxsc25 : on12_x1
663
    PORT MAP (
664
    vss => vss,
665
    vdd => vdd,
666
    q => auxsc25,
667
    i1 => auxreg1,
668
    i0 => auxsc24);
669
  auxsc24 : inv_x1
670
    PORT MAP (
671
    vss => vss,
672
    vdd => vdd,
673
    nq => auxsc24,
674
    i => auxreg4);
675
  auxsc23 : nxr2_x1
676
    PORT MAP (
677
    vss => vss,
678
    vdd => vdd,
679
    nq => auxsc23,
680
    i1 => auxsc12,
681
    i0 => auxreg3);
682
  auxsc169 : nao22_x1
683
    PORT MAP (
684
    vss => vss,
685
    vdd => vdd,
686
    nq => auxsc169,
687
    i2 => auxsc173,
688
    i1 => auxsc164,
689
    i0 => auxsc171);
690
  auxsc173 : o3_x2
691
    PORT MAP (
692
    vss => vss,
693
    vdd => vdd,
694
    q => auxsc173,
695
    i2 => auxreg3,
696
    i1 => auxsc116,
697
    i0 => auxsc172);
698
  auxsc172 : a2_x2
699
    PORT MAP (
700
    vss => vss,
701
    vdd => vdd,
702
    q => auxsc172,
703
    i1 => dt_ready,
704
    i0 => finish);
705
  auxsc164 : a2_x2
706
    PORT MAP (
707
    vss => vss,
708
    vdd => vdd,
709
    q => auxsc164,
710
    i1 => auxreg4,
711
    i0 => auxreg1);
712
  auxsc171 : o2_x2
713
    PORT MAP (
714
    vss => vss,
715
    vdd => vdd,
716
    q => auxsc171,
717
    i1 => auxsc1,
718
    i0 => active);
719
  auxsc174 : inv_x1
720
    PORT MAP (
721
    vss => vss,
722
    vdd => vdd,
723
    nq => auxsc174,
724
    i => emp_buf);
725
  auxsc159 : a3_x2
726
    PORT MAP (
727
    vss => vss,
728
    vdd => vdd,
729
    q => auxsc159,
730
    i2 => auxsc1,
731
    i1 => auxsc12,
732
    i0 => cke_b_mode);
733
  auxsc140 : no2_x1
734
    PORT MAP (
735
    vss => vss,
736
    vdd => vdd,
737
    nq => auxsc140,
738
    i1 => auxreg4,
739
    i0 => auxsc146);
740
  auxsc146 : ao22_x2
741
    PORT MAP (
742
    vss => vss,
743
    vdd => vdd,
744
    q => auxsc146,
745
    i2 => auxsc145,
746
    i1 => auxreg2,
747
    i0 => auxsc143);
748
  auxsc145 : inv_x1
749
    PORT MAP (
750
    vss => vss,
751
    vdd => vdd,
752
    nq => auxsc145,
753
    i => auxsc144);
754
  auxsc144 : an12_x1
755
    PORT MAP (
756
    vss => vss,
757
    vdd => vdd,
758
    q => auxsc144,
759
    i1 => auxreg1,
760
    i0 => auxsc82);
761
  auxsc82 : no2_x1
762
    PORT MAP (
763
    vss => vss,
764
    vdd => vdd,
765
    nq => auxsc82,
766
    i1 => auxsc63,
767
    i0 => auxsc81);
768
  auxsc143 : na2_x1
769
    PORT MAP (
770
    vss => vss,
771
    vdd => vdd,
772
    nq => auxsc143,
773
    i1 => auxsc137,
774
    i0 => auxsc2);
775
  auxsc137 : na2_x1
776
    PORT MAP (
777
    vss => vss,
778
    vdd => vdd,
779
    nq => auxsc137,
780
    i1 => first_dt,
781
    i0 => auxsc141);
782
  auxsc141 : a2_x2
783
    PORT MAP (
784
    vss => vss,
785
    vdd => vdd,
786
    q => auxsc141,
787
    i1 => key_ready,
788
    i0 => dt_ready);
789
  auxsc52 : a2_x2
790
    PORT MAP (
791
    vss => vss,
792
    vdd => vdd,
793
    q => auxsc52,
794
    i1 => auxreg2,
795
    i0 => auxreg1);
796
  auxsc152 : nao22_x1
797
    PORT MAP (
798
    vss => vss,
799
    vdd => vdd,
800
    nq => auxsc152,
801
    i2 => auxsc12,
802
    i1 => auxreg4,
803
    i0 => auxsc153);
804
  auxsc153 : na3_x1
805
    PORT MAP (
806
    vss => vss,
807
    vdd => vdd,
808
    nq => auxsc153,
809
    i2 => first_dt,
810
    i1 => key_ready,
811
    i0 => dt_ready);
812
  auxsc130 : o3_x2
813
    PORT MAP (
814
    vss => vss,
815
    vdd => vdd,
816
    q => auxsc130,
817
    i2 => auxreg3,
818
    i1 => auxsc12,
819
    i0 => auxsc116);
820
  auxsc129 : na2_x1
821
    PORT MAP (
822
    vss => vss,
823
    vdd => vdd,
824
    nq => auxsc129,
825
    i1 => auxsc109,
826
    i0 => auxsc108);
827
  auxsc124 : o3_x2
828
    PORT MAP (
829
    vss => vss,
830
    vdd => vdd,
831
    q => auxsc124,
832
    i2 => auxreg4,
833
    i1 => auxsc128,
834
    i0 => auxsc126);
835
  auxsc128 : a3_x2
836
    PORT MAP (
837
    vss => vss,
838
    vdd => vdd,
839
    q => auxsc128,
840
    i2 => auxsc127,
841
    i1 => key_ready,
842
    i0 => dt_ready);
843
  auxsc127 : an12_x1
844
    PORT MAP (
845
    vss => vss,
846
    vdd => vdd,
847
    q => auxsc127,
848
    i1 => first_dt,
849
    i0 => auxreg1);
850
  auxsc126 : an12_x1
851
    PORT MAP (
852
    vss => vss,
853
    vdd => vdd,
854
    q => auxsc126,
855
    i1 => auxreg1,
856
    i0 => auxsc125);
857
  auxsc125 : o2_x2
858
    PORT MAP (
859
    vss => vss,
860
    vdd => vdd,
861
    q => auxsc125,
862
    i1 => auxsc63,
863
    i0 => auxsc81);
864
  auxsc81 : inv_x1
865
    PORT MAP (
866
    vss => vss,
867
    vdd => vdd,
868
    nq => auxsc81,
869
    i => finish);
870
  auxsc110 : na3_x1
871
    PORT MAP (
872
    vss => vss,
873
    vdd => vdd,
874
    nq => auxsc110,
875
    i2 => auxsc109,
876
    i1 => auxsc108,
877
    i0 => auxreg2);
878
  auxsc109 : na2_x1
879
    PORT MAP (
880
    vss => vss,
881
    vdd => vdd,
882
    nq => auxsc109,
883
    i1 => auxreg4,
884
    i0 => cke_b_mode);
885
  auxsc108 : na2_x1
886
    PORT MAP (
887
    vss => vss,
888
    vdd => vdd,
889
    nq => auxsc108,
890
    i1 => auxreg3,
891
    i0 => auxsc102);
892
  auxsc107 : o2_x2
893
    PORT MAP (
894
    vss => vss,
895
    vdd => vdd,
896
    q => auxsc107,
897
    i1 => auxsc100,
898
    i0 => auxreg2);
899
  auxsc100 : a2_x2
900
    PORT MAP (
901
    vss => vss,
902
    vdd => vdd,
903
    q => auxsc100,
904
    i1 => auxreg4,
905
    i0 => auxsc102);
906
  auxsc102 : an12_x1
907
    PORT MAP (
908
    vss => vss,
909
    vdd => vdd,
910
    q => auxsc102,
911
    i1 => cke_b_mode,
912
    i0 => auxsc2);
913
  auxsc118 : o4_x2
914
    PORT MAP (
915
    vss => vss,
916
    vdd => vdd,
917
    q => auxsc118,
918
    i3 => auxreg4,
919
    i2 => auxreg3,
920
    i1 => auxsc12,
921
    i0 => auxsc116);
922
  auxsc12 : inv_x1
923
    PORT MAP (
924
    vss => vss,
925
    vdd => vdd,
926
    nq => auxsc12,
927
    i => auxreg2);
928
  auxsc116 : na2_x1
929
    PORT MAP (
930
    vss => vss,
931
    vdd => vdd,
932
    nq => auxsc116,
933
    i1 => auxreg1,
934
    i0 => cke_b_mode);
935
  auxsc117 : na2_x1
936
    PORT MAP (
937
    vss => vss,
938
    vdd => vdd,
939
    nq => auxsc117,
940
    i1 => auxsc93,
941
    i0 => auxsc59);
942
  auxsc93 : no3_x1
943
    PORT MAP (
944
    vss => vss,
945
    vdd => vdd,
946
    nq => auxsc93,
947
    i2 => auxreg4,
948
    i1 => auxsc94,
949
    i0 => auxreg1);
950
  auxsc94 : o4_x2
951
    PORT MAP (
952
    vss => vss,
953
    vdd => vdd,
954
    q => auxsc94,
955
    i3 => auxreg3,
956
    i2 => auxsc64,
957
    i1 => auxsc63,
958
    i0 => active);
959
  auxsc64 : inv_x1
960
    PORT MAP (
961
    vss => vss,
962
    vdd => vdd,
963
    nq => auxsc64,
964
    i => key_ready);
965
  auxsc63 : inv_x1
966
    PORT MAP (
967
    vss => vss,
968
    vdd => vdd,
969
    nq => auxsc63,
970
    i => dt_ready);
971
  auxsc59 : inv_x1
972
    PORT MAP (
973
    vss => vss,
974
    vdd => vdd,
975
    nq => auxsc59,
976
    i => first_dt);
977
  auxsc3 : inv_x1
978
    PORT MAP (
979
    vss => vss,
980
    vdd => vdd,
981
    nq => cke_b_mode,
982
    i => active);
983
  auxsc1 : inv_x1
984
    PORT MAP (
985
    vss => vss,
986
    vdd => vdd,
987
    nq => auxsc1,
988
    i => auxreg3);
989
  auxsc2 : inv_x1
990
    PORT MAP (
991
    vss => vss,
992
    vdd => vdd,
993
    nq => auxsc2,
994
    i => auxreg1);
995
  aux70_a : o2_x2
996
    PORT MAP (
997
    vss => vss,
998
    vdd => vdd,
999
    q => aux70_a,
1000
    i1 => auxreg1,
1001
    i0 => auxreg4);
1002
  aux66_a : a4_x2
1003
    PORT MAP (
1004
    vss => vss,
1005
    vdd => vdd,
1006
    q => aux66_a,
1007
    i3 => cke_b_mode,
1008
    i2 => auxsc1,
1009
    i1 => auxsc2,
1010
    i0 => auxreg2);
1011
  aux64_a : na2_x1
1012
    PORT MAP (
1013
    vss => vss,
1014
    vdd => vdd,
1015
    nq => aux64_a,
1016
    i1 => dt_ready,
1017
    i0 => finish);
1018
  aux59_a : nao22_x1
1019
    PORT MAP (
1020
    vss => vss,
1021
    vdd => vdd,
1022
    nq => sel2(0),
1023
    i2 => auxsc118,
1024
    i1 => auxsc117,
1025
    i0 => auxreg2);
1026
  aux57_a : ao22_x2
1027
    PORT MAP (
1028
    vss => vss,
1029
    vdd => vdd,
1030
    q => sel3(0),
1031
    i2 => auxsc110,
1032
    i1 => auxsc107,
1033
    i0 => aux56_a);
1034
  aux56_a : a2_x2
1035
    PORT MAP (
1036
    vss => vss,
1037
    vdd => vdd,
1038
    q => aux56_a,
1039
    i1 => auxsc93,
1040
    i0 => auxsc59);
1041
  aux53_a : a2_x2
1042
    PORT MAP (
1043
    vss => vss,
1044
    vdd => vdd,
1045
    q => aux53_a,
1046
    i1 => cke_b_mode,
1047
    i0 => auxreg1);
1048
  auxinit1_a : a4_x2
1049
    PORT MAP (
1050
    vss => vss,
1051
    vdd => vdd,
1052
    q => emp_buf,
1053
    i3 => auxsc124,
1054
    i2 => auxsc1,
1055
    i1 => auxsc12,
1056
    i0 => cke_b_mode);
1057
  current_state_0 : sff1_x4
1058
    PORT MAP (
1059
    vss => vss,
1060
    vdd => vdd,
1061
    q => auxreg1,
1062
    i => auxsc14,
1063
    ck => auxsc18);
1064
  current_state_1 : sff1_x4
1065
    PORT MAP (
1066
    vss => vss,
1067
    vdd => vdd,
1068
    q => auxreg2,
1069
    i => auxsc49,
1070
    ck => auxsc18);
1071
  current_state_2 : sff1_x4
1072
    PORT MAP (
1073
    vss => vss,
1074
    vdd => vdd,
1075
    q => auxreg3,
1076
    i => auxsc74,
1077
    ck => auxsc18);
1078
  current_state_3 : sff1_x4
1079
    PORT MAP (
1080
    vss => vss,
1081
    vdd => vdd,
1082
    q => auxreg4,
1083
    i => auxsc86,
1084
    ck => auxsc18);
1085
 
1086
end VST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.