OpenCores
URL https://opencores.org/ocsvn/sub86/sub86/trunk

Subversion Repositories sub86

[/] [sub86/] [trunk/] [sub86.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ultro
module sub86( CLK, RSTN, IA, ID, A, D, Q, WEN,BEN );
2
input         CLK;
3
input         RSTN;
4
output [31:0] IA;
5
input  [15:0] ID;
6
output [31:0] A;
7
input  [31:0] D;
8
output [31:0] Q;
9
output        WEN;
10
output  [1:0] BEN;
11
wire          nncry,neqF,ngF,nlF;
12
reg    [31:0] EAX,EBX,ECX,EDX,EBP,ESP,PC,regsrc,regdest,alu_out;
13
reg           eqF,gF,lF;
14
reg     [4:0] state,nstate;
15
reg     [2:0] src,dest;
16
reg           cry,ncry,prefx,nprefx,cmpr;
17
wire   [31:0] incPC,Sregsrc,Zregsrc,pc_jg,pc_jge,pc_jl,pc_jle,pc_eq,pc_jp,pc_neq;
18
wire   [63:0] mul_out;
19
wire signed [31:0] sft_in,sft_out,tst;
20
wire    [4:0] shtr;
21
wire   [32:0] adder_out;
22
wire   [32:0] sub_out;
23
`define fetch 5'b00000
24
`define jmp   5'b00001
25
`define jmp2  5'b00010
26
`define jge   5'b00011
27
`define jge2  5'b00100
28
`define imm   5'b00101
29
`define imm2  5'b00110
30
`define lea   5'b00111
31
`define lea2  5'b01000
32
`define call  5'b01001
33
`define call2 5'b01010
34
`define ret   5'b01011
35
`define ret2  5'b01100
36
`define imul  5'b01101
37
`define shift 5'b01110
38
`define jg    5'b01111
39
`define jg2   5'b10000
40
`define jl    5'b10001
41
`define jl2   5'b10010
42
`define jle   5'b10011
43
`define jle2  5'b10100
44
`define je    5'b10101
45
`define je2   5'b10110
46
`define jne   5'b10111
47
`define jne2  5'b11000
48
 always @(posedge CLK or negedge RSTN)
49
   if(!RSTN) begin
50
      EAX <= 32'b0; EBX <= 32'b0;
51
      ECX <= 32'b0; EDX <= 32'b0;
52
      EBP <= 32'b0; ESP <= 32'b011111111;
53
      PC  <= 32'b00000;
54
      eqF <= 1'b0; lF <= 1'b0; gF <= 1'b0;
55
      state <=5'b00000; prefx <= 1'b0;
56
      cry <= 1'b0;
57
      end
58
   else
59
      begin
60
       state <= nstate; prefx <= nprefx; cry <= ncry;
61
       case (cmpr)
62
        1'b1   : begin eqF <= neqF ; lF <= nlF; gF <= ngF; end
63
        default: begin eqF <=  eqF ; lF <=  lF; gF <=  gF; end
64
       endcase
65
       if ((state==`fetch) || (state==`ret))
66
        begin
67
         if (dest==3'b000) EAX <= alu_out; else EAX<=EAX;
68
         if (dest==3'b001) ECX <= alu_out; else ECX<=ECX;
69
         if (dest==3'b010) EDX <= alu_out; else EDX<=EDX;
70
         if (dest==3'b011) EBX <= alu_out; else EBX<=EBX;
71
         if (dest==3'b100) ESP <= alu_out; else ESP<=ESP;
72
         if (dest==3'b101) EBP <= alu_out; else EBP<=EBP;
73
        end
74
       else
75
        begin
76
         EBP<=EBP;
77
         EAX<=EAX;
78
         ECX<=ECX;
79
         EDX<=EDX;
80
         case(state)
81
          `jmp , `jg, `jge , `jl, `jle, `je, `jne, `imm, `call,
82
          `lea    : EBX<={EBX[31:16],ID[7:0],ID[15:8]};
83
          `imm2   : EBX<={ID[7:0],ID[15:8], EBX[15:0]};
84
          `lea2   : EBX<={ID[7:0],ID[15:8], EBX[15:0]}+EBP;
85
          default : EBX<=EBX;
86
         endcase
87
         case(state)
88
          `call  : ESP<=ESP - 4'b0100;
89
          `ret2  : ESP<=ESP + 4'b0100;
90
          default: ESP<=ESP;
91
         endcase
92
        end
93
       case(state)
94
        `jge2              : PC<=pc_jge;
95
        `jle2              : PC<=pc_jle;
96
        `jg2               : PC<=pc_jg ;
97
        `jl2               : PC<=pc_jl ;
98
        `je2               : PC<=pc_eq ;
99
        `jne2              : PC<=pc_neq;
100
        `jmp2,`call2       : PC<=pc_jp ;
101
        `ret2              : PC<=D     ;
102
        default            : PC<=incPC ;
103
       endcase
104
      end
105
// muxing for source selection, used in alu & moves
106
always@(src,EAX,ECX,EDX,EBX,ESP,EBP,D)
107
   case(src)
108
    3'b000 : regsrc = EAX;
109
    3'b001 : regsrc = ECX;
110
    3'b010 : regsrc = EDX;
111
    3'b011 : regsrc = EBX;
112
    3'b100 : regsrc = ESP;
113
    3'b101 : regsrc = EBP;
114
    3'b111 : regsrc = D;
115
    default: regsrc = EBX;
116
   endcase
117
// muxing for 2nd operand selection, used in alu only
118
always@(dest,EAX,ECX,EDX,EBX,ESP,EBP,D)
119
   case(dest)
120
    3'b000 : regdest = EAX;
121
    3'b001 : regdest = ECX;
122
    3'b010 : regdest = EDX;
123
    3'b011 : regdest = EBX;
124
    3'b100 : regdest = ESP;
125
    3'b101 : regdest = EBP;
126
    3'b111 : regdest = D  ;
127
    default: regdest = EBX;
128
   endcase
129
// alu
130
always@(regdest,regsrc,ID,cry,mul_out,Zregsrc,Sregsrc,sft_out)
131
 begin
132
  case (ID[15:10])
133
   6'b000000 : {ncry,alu_out} =             adder_out ; // ADD , carry generation
134
   6'b000010 : {ncry,alu_out} = {cry,regdest | regsrc}; // OR
135
   6'b000100 : {ncry,alu_out} =             adder_out ; // ADD , carry use
136
   6'b000110 : {ncry,alu_out} =               sub_out ; // SUB , carry use
137
   6'b001000 : {ncry,alu_out} = {cry,regdest & regsrc}; // AND
138
   6'b001010 : {ncry,alu_out} =               sub_out ; // SUB , carry generation
139
   6'b001100 : {ncry,alu_out} = {cry,regdest ^ regsrc}; // XOR
140
   6'b100010 : {ncry,alu_out} = {cry,          regsrc}; // MOVE
141
   6'b101101 : {ncry,alu_out} = {cry,         Zregsrc}; // MOVE
142
   6'b101111 : {ncry,alu_out} = {cry,         Sregsrc}; // MOVE
143
   6'b101011 : {ncry,alu_out} = {cry,   mul_out[31:0]}; // IMUL
144
   6'b110000 : {ncry,alu_out} = {cry,   sft_out[31:0]}; // SHIFT
145
   6'b110100 : {ncry,alu_out} = {cry,   sft_out[31:0]}; // SHIFT
146
   default   : {ncry,alu_out} = {cry,regdest         }; // DO NOTHING
147
  endcase
148
 end
149
// Main instruction decode
150
always @(ID,state)
151
 begin
152
   // One cycle instructions, operand selection
153
   if (state == `fetch)
154
    begin
155
     case ({ID[15:14],ID[9],ID[7]})
156
      4'b1000  : begin src=ID[5:3]; dest= 3'b111; end  // store into ram (x89 x00)
157
      4'b1010  : begin src= 3'b111; dest=ID[5:3]; end  // load from ram  (x8b x00)
158
      4'b1001  : begin src=ID[5:3]; dest=ID[2:0]; end  // reg2reg xfer   (x89 xC0)
159
      4'b1011  : begin src=ID[2:0]; dest=ID[5:3]; end  // reg2reg xfer   (x8b xC0) & imul
160
      4'b0001  : begin src=ID[5:3]; dest=ID[2:0]; end  // alu op
161
      4'b0011  : begin src=ID[2:0]; dest=ID[5:3]; end  // alu op
162
      default  : begin src=ID[5:3]; dest=ID[2:0]; end  // shift
163
     endcase
164
    end
165
   else if (state==`ret)
166
        begin src = 3'b011; dest = 3'b100; end
167
   else begin src = 3'b000; dest = 3'b000; end
168
   // instructions that require more than one cycle to execute
169
   if (state == `fetch)
170
   begin
171
    casex(ID)
172
     16'h90e9: nstate = `jmp;
173
     16'h0f8f: nstate = `jg;
174
     16'h0f8e: nstate = `jle;
175
     16'h0f8d: nstate = `jge;
176
     16'h0f8c: nstate = `jl;
177
     16'h0f85: nstate = `jne;
178
     16'h0f84: nstate = `je;
179
     16'h90bb: nstate = `imm;
180
     16'h8d9d: nstate = `lea;
181
     16'h90e8: nstate = `call;
182
     16'h90c3: nstate = `ret;
183
     16'hc1xx: nstate = `shift;
184
     default : nstate = `fetch;
185
    endcase
186
    if (ID       == 16'h9066) nprefx = 1'b1; else nprefx = 1'b0;
187
    if (ID[15:8] ==  8'h39  ) cmpr   = 1'b1; else cmpr   = 1'b0;
188
   end
189
   else
190
   begin
191
        nprefx = 1'b0;
192
        cmpr   = 1'b0;
193
        if (state==`jmp)   nstate = `jmp2;  else if (state==`jmp2)  nstate = `fetch;
194
   else if (state==`jne)   nstate = `jne2;  else if (state==`jne2)  nstate = `fetch;
195
   else if (state==`je )   nstate = `je2 ;  else if (state==`je2 )  nstate = `fetch;
196
   else if (state==`jge)   nstate = `jge2;  else if (state==`jge2)  nstate = `fetch;
197
   else if (state==`jg )   nstate = `jg2 ;  else if (state==`jg2 )  nstate = `fetch;
198
   else if (state==`jle)   nstate = `jle2;  else if (state==`jle2)  nstate = `fetch;
199
   else if (state==`jl )   nstate = `jl2 ;  else if (state==`jl2 )  nstate = `fetch;
200
   else if (state==`imm)   nstate = `imm2;  else if (state==`imm2)  nstate = `fetch;
201
   else if (state==`lea)   nstate = `lea2;  else if (state==`lea2)  nstate = `fetch;
202
   else if (state==`call)  nstate = `call2; else if (state==`call2) nstate = `fetch;
203
   else if (state==`ret)   nstate = `ret2;  else if (state==`ret2)  nstate = `fetch;
204
   else if (state==`shift) nstate = `fetch;
205
   else                    nstate = `fetch;
206
   end
207
 end
208
assign  IA      = PC                ;
209
assign  A       = (state == `call2) ?  ESP :
210
                                       EBX ;
211
assign  mul_out = regsrc * regdest  ;
212
assign  sft_in  = regdest           ;
213
assign  shtr    =       ID[12]      ?  ECX[4:0]     : EBX[4:0] ;
214
assign  Q       = (state == `call2) ?  incPC        : regsrc   ;
215
assign  WEN     = (ID[15:8]==8'h90) ?  1'b1         :
216
                  (state == `call2) ?  1'b0         :
217
                  (dest  == 3'b111) ?  1'b0         :
218
                                       1'b1         ;
219
assign      tst = sft_in >>> (shtr);
220
assign  sft_out = (src   == 3'b111) ? tst                : //sar
221
                  (src   == 3'b101) ? (sft_in >>  shtr ) : //shr
222
                                      (sft_in <<  shtr ) ; //shl
223
assign  Sregsrc =       ID[8]       ? { {16{regsrc[15]}} , regsrc[15:0] } :
224
                                      { {24{regsrc[7] }} , regsrc[7:0]  } ;
225
assign  Zregsrc =       ID[8]       ? {  16'b0           , regsrc[15:0] } :
226
                                      {  24'b0           , regsrc[7:0]  } ;
227
assign      BEN = (state == `call2 )  ? 1'b1 :
228
                   {  prefx           , ID[8]        } ;
229
assign     neqF = (regsrc == regdest) ? 1'b1 : 1'b0;
230
assign      nlF = (regsrc  > regdest) ? 1'b1 : 1'b0;
231
assign      ngF = (regsrc  < regdest) ? 1'b1 : 1'b0;
232
assign    incPC = PC + 3'b010;
233
assign   pc_jge = ( eqF|gF) ? pc_jp : incPC;
234
assign   pc_jle = ( eqF|lF) ? pc_jp : incPC;
235
assign   pc_jg  = ( gF    ) ? pc_jp : incPC;
236
assign   pc_jl  = ( lF    ) ? pc_jp : incPC;
237
assign   pc_eq  = ( eqF   ) ? pc_jp : incPC;
238
assign   pc_neq = ( eqF   ) ? incPC : pc_jp;
239
assign   pc_jp  = incPC+{ID,EBX[15:0]};
240
assign adder_out= nncry   + regsrc + regdest;
241
assign   sub_out= regdest - regsrc - nncry;
242
assign    nncry = ID[12] ? cry : 1'b0;
243
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.