OpenCores
URL https://opencores.org/ocsvn/sv_dir_tb/sv_dir_tb/trunk

Subversion Repositories sv_dir_tb

[/] [sv_dir_tb/] [trunk/] [examples/] [internal/] [sim/] [modelsim.ini] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sckoarn
; Copyright 1991-2012 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
vital2000 = $MODEL_TECH/../vital2000
13
;
14
; VITAL concerns:
15
;
16
; The library ieee contains (among other packages) the packages of the
17
; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
18
; the physical library ieee (recommended), or use the physical library
19
; vital2000, but not both.  The design can use logical library ieee and/or
20
; vital2000 as long as each of these maps to the same physical library, either
21
; ieee or vital2000.
22
;
23
; A design using the 1995 version of the VITAL packages, whether or not
24
; it also uses the 2000 version of the VITAL packages, must have logical library
25
; name ieee mapped to physical library vital1995.  (A design cannot use library
26
; vital1995 directly because some packages in this library use logical name ieee
27
; when referring to the other packages in the library.)  The design source
28
; should use logical name ieee when referring to any packages there except the
29
; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
30
; name vital2000 (mapped to physical library vital2000) to refer to those
31
; packages.
32
; ieee = $MODEL_TECH/../vital1995
33
;
34
; For compatiblity with previous releases, logical library name vital2000 maps
35
; to library vital2000 (a different library than library ieee, containing the
36
; same packages).
37
; A design should not reference VITAL from both the ieee library and the
38
; vital2000 library because the vital packages are effectively different.
39
; A design that references both the ieee and vital2000 libraries must have
40
; both logical names ieee and vital2000 mapped to the same library, either of
41
; these:
42
;   $MODEL_TECH/../ieee
43
;   $MODEL_TECH/../vital2000
44
;
45
verilog = $MODEL_TECH/../verilog
46
std_developerskit = $MODEL_TECH/../std_developerskit
47
synopsys = $MODEL_TECH/../synopsys
48
modelsim_lib = $MODEL_TECH/../modelsim_lib
49
sv_std = $MODEL_TECH/../sv_std
50
mtiAvm = $MODEL_TECH/../avm
51
mtiOvm = $MODEL_TECH/../ovm-2.1.2
52
mtiUvm = $MODEL_TECH/../uvm-1.1b
53
mtiUPF = $MODEL_TECH/../upf_lib
54
mtiPA  = $MODEL_TECH/../pa_lib
55
floatfixlib = $MODEL_TECH/../floatfixlib
56
mc2_lib = $MODEL_TECH/../mc2_lib
57
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
58
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
59
;mvc_lib = $MODEL_TECH/../mvc_lib
60
 
61
[vcom]
62
; VHDL93 variable selects language version as the default.
63
; Default is VHDL-2002.
64
; Value of 0 or 1987 for VHDL-1987.
65
; Value of 1 or 1993 for VHDL-1993.
66
; Default or value of 2 or 2002 for VHDL-2002.
67
; Value of 3 or 2008 for VHDL-2008
68
VHDL93 = 2002
69
 
70
; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
71
; ignoreStandardRealVector = 1
72
 
73
; Show source line containing error. Default is off.
74
; Show_source = 1
75
 
76
; Turn off unbound-component warnings. Default is on.
77
; Show_Warning1 = 0
78
 
79
; Turn off process-without-a-wait-statement warnings. Default is on.
80
; Show_Warning2 = 0
81
 
82
; Turn off null-range warnings. Default is on.
83
; Show_Warning3 = 0
84
 
85
; Turn off no-space-in-time-literal warnings. Default is on.
86
; Show_Warning4 = 0
87
 
88
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
89
; Show_Warning5 = 0
90
 
91
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
92
; Optimize_1164 = 0
93
 
94
; Turn on resolving of ambiguous function overloading in favor of the
95
; "explicit" function declaration (not the one automatically created by
96
; the compiler for each type declaration). Default is off.
97
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
98
; will match the behavior of synthesis tools.
99
Explicit = 1
100
 
101
; Turn off acceleration of the VITAL packages. Default is to accelerate.
102
; NoVital = 1
103
 
104
; Turn off VITAL compliance checking. Default is checking on.
105
; NoVitalCheck = 1
106
 
107
; Ignore VITAL compliance checking errors. Default is to not ignore.
108
; IgnoreVitalErrors = 1
109
 
110
; Turn off VITAL compliance checking warnings. Default is to show warnings.
111
; Show_VitalChecksWarnings = 0
112
 
113
; Turn off PSL assertion warning messages. Default is to show warnings.
114
; Show_PslChecksWarnings = 0
115
 
116
; Enable parsing of embedded PSL assertions. Default is enabled.
117
; EmbeddedPsl = 0
118
 
119
; Keep silent about case statement static warnings.
120
; Default is to give a warning.
121
; NoCaseStaticError = 1
122
 
123
; Keep silent about warnings caused by aggregates that are not locally static.
124
; Default is to give a warning.
125
; NoOthersStaticError = 1
126
 
127
; Treat as errors:
128
;   case statement static warnings
129
;   warnings caused by aggregates that are not locally static
130
; Overrides NoCaseStaticError, NoOthersStaticError settings.
131
; PedanticErrors = 1
132
 
133
; Turn off inclusion of debugging info within design units.
134
; Default is to include debugging info.
135
; NoDebug = 1
136
 
137
; Turn off "Loading..." messages. Default is messages on.
138
; Quiet = 1
139
 
140
; Turn on some limited synthesis rule compliance checking. Checks only:
141
;    -- signals used (read) by a process must be in the sensitivity list
142
; CheckSynthesis = 1
143
 
144
; Activate optimizations on expressions that do not involve signals,
145
; waits, or function/procedure/task invocations. Default is off.
146
; ScalarOpts = 1
147
 
148
; Turns on lint-style checking.
149
; Show_Lint = 1
150
 
151
; Require the user to specify a configuration for all bindings,
152
; and do not generate a compile time default binding for the
153
; component. This will result in an elaboration error of
154
; 'component not bound' if the user fails to do so. Avoids the rare
155
; issue of a false dependency upon the unused default binding.
156
; RequireConfigForAllDefaultBinding = 1
157
 
158
; Perform default binding at compile time.
159
; Default is to do default binding at load time.
160
; BindAtCompile = 1;
161
 
162
; Inhibit range checking on subscripts of arrays. Range checking on
163
; scalars defined with subtypes is inhibited by default.
164
; NoIndexCheck = 1
165
 
166
; Inhibit range checks on all (implicit and explicit) assignments to
167
; scalar objects defined with subtypes.
168
; NoRangeCheck = 1
169
 
170
; Run the 0-in compiler on the VHDL source files
171
; Default is off.
172
; ZeroIn = 1
173
 
174
; Set the options to be passed to the 0-in compiler.
175
; Default is "".
176
; ZeroInOptions = ""
177
 
178
; Set the prefix to be honored for synthesis/coverage pragma recognition.
179
; Default is "".
180
; AddPragmaPrefix = ""
181
 
182
; Ignore synthesis and coverage pragmas with this prefix.
183
; Default is "".
184
; IgnorePragmaPrefix = ""
185
 
186
; Turn on code coverage in VHDL design units. Default is off.
187
; Coverage = sbceft
188
 
189
; Turn off code coverage in VHDL subprograms. Default is on.
190
; CoverSub = 0
191
 
192
; Automatically exclude VHDL case statement OTHERS choice branches.
193
; This includes OTHERS choices in selected signal assigment statements.
194
; Default is to not exclude.
195
; CoverExcludeDefault = 1
196
 
197
; Control compiler and VOPT optimizations that are allowed when
198
; code coverage is on.  Refer to the comment for this in the [vlog] area.
199
; CoverOpt = 3
200
 
201
; Turn on or off clkOpt optimization for code coverage. Default is on.
202
; CoverClkOpt = 1
203
 
204
; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
205
; CoverClkOptBuiltins = 0
206
 
207
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
208
; values on signals in conditions and expressions, and to not automatically
209
; convert them to '1' and '0'. Default is to not convert.
210
; CoverRespectHandL = 0
211
 
212
; Increase or decrease the maximum number of rows allowed in a FEC table, implementing
213
; a condition coverage or expression coverage expression, by changing FecEffort.
214
; Higher FecEffort leads to a longer compile time, but more expressions covered.
215
; This is a number from 1 to 3, with the following meanings (the default is 1):
216
;    3 -- High FecEffort, Allows large expressions to be covered, will cause longer compile time.
217
;    2 -- Medium FecEffort, Allows more number of inputs per expression than Low FecEffort to be covered.
218
;    1 -- Low FecEffort, Covers only small expressions or conditions and skips larger ones.
219
; FecEffort = 2
220
 
221
; Enable or disable Focused Expression Coverage analysis for conditions and
222
; expressions. Focused Expression Coverage data is provided by default when
223
; expression and/or condition coverage is active.
224
; CoverFEC = 0
225
 
226
; Enable or disable UDP Coverage analysis for conditions and expressions.
227
; UDP Coverage data is disabled by default when expression and/or condition
228
; coverage is active.
229
; CoverUDP = 1
230
 
231
; Enable or disable short circuit evaluation of conditions and expressions when
232
; condition or expression coverage is active. Short circuit evaluation is enabled
233
; by default.
234
; CoverShortCircuit = 0
235
 
236
; Enable code coverage reporting of code that has been optimized away.
237
; The default is not to report.
238
; CoverReportCancelled = 1
239
 
240
; Use this directory for compiler temporary files instead of "work/_temp"
241
; CompilerTempDir = /tmp
242
 
243
; Set this to cause the compilers to force data to be committed to disk
244
; when the files are closed.
245
; SyncCompilerFiles = 1
246
 
247
; Add VHDL-AMS declarations to package STANDARD
248
; Default is not to add
249
; AmsStandard = 1
250
 
251
; Range and length checking will be performed on array indices and discrete
252
; ranges, and when violations are found within subprograms, errors will be
253
; reported. Default is to issue warnings for violations, because subprograms
254
; may not be invoked.
255
; NoDeferSubpgmCheck = 0
256
 
257
; Turn ON detection of FSMs having single bit current state variable.
258
; FsmSingle = 1
259
 
260
; Turn off reset state transitions in FSM.
261
; FsmResetTrans = 0
262
 
263
; Turn ON detection of FSM Implicit Transitions.
264
; FsmImplicitTrans = 1
265
 
266
; Controls whether or not to show immediate assertions with constant expressions
267
; in GUI/report/UCDB etc. By default, immediate assertions with constant
268
; expressions are shown in GUI/report/UCDB etc. This does not affect
269
; evaluation of immediate assertions.
270
; ShowConstantImmediateAsserts = 0
271
 
272
; Controls how VHDL basic identifiers are stored with the design unit.
273
; Does not make the language case-sensitive, affects only how declarations
274
; declared with basic identifiers have their names stored and printed
275
; (in the GUI, examine, etc.).
276
; Default is to preserve the case as originally depicted in the VHDL source.
277
; Value of 0 indicates to change all basic identifiers to lower case.
278
; PreserveCase = 0
279
 
280
; For Configuration Declarations, controls the effect that USE clauses have
281
; on visibility inside the configuration items being configured.  If 1
282
; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
283
; extend the visibility of objects made visible through USE clauses into nested
284
; component configurations.
285
; OldVHDLConfigurationVisibility = 0
286
 
287
; Allows VHDL configuration declarations to be in a different library from
288
; the corresponding configured entity. Default is to not allow this for
289
; stricter LRM-compliance.
290
; SeparateConfigLibrary = 1;
291
 
292
; Determine how mode OUT subprogram parameters of type array and record are treated.
293
; If 0 (the default), then only VHDL 2008 will do this initialization.
294
; If 1, always initialize the mode OUT parameter to its default value.
295
; If 2, do not initialize the mode OUT out parameter.
296
; Note that prior to release 10.1, all language versions did not initialize mode
297
; OUT array and record type parameters, unless overridden here via this mechanism.
298
; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
299
; initialization, unless overridden here.
300
; InitOutCompositeParam = 0
301
 
302
[vlog]
303
; Turn off inclusion of debugging info within design units.
304
; Default is to include debugging info.
305
; NoDebug = 1
306
 
307
; Turn on `protect compiler directive processing.
308
; Default is to ignore `protect directives.
309
; Protect = 1
310
 
311
; Turn off "Loading..." messages. Default is messages on.
312
; Quiet = 1
313
 
314
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
315
; Default is off.
316
; Hazard = 1
317
 
318
; Turn on converting regular Verilog identifiers to uppercase. Allows case
319
; insensitivity for module names. Default is no conversion.
320
; UpCase = 1
321
 
322
; Activate optimizations on expressions that do not involve signals,
323
; waits, or function/procedure/task invocations. Default is off.
324
; ScalarOpts = 1
325
 
326
; Turns on lint-style checking.
327
; Show_Lint = 1
328
 
329
; Show source line containing error. Default is off.
330
; Show_source = 1
331
 
332
; Turn on bad option warning. Default is off.
333
; Show_BadOptionWarning = 1
334
 
335
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
336
; vlog95compat = 1
337
 
338
; Turn off PSL warning messages. Default is to show warnings.
339
; Show_PslChecksWarnings = 0
340
 
341
; Enable parsing of embedded PSL assertions. Default is enabled.
342
; EmbeddedPsl = 0
343
 
344
; Set the threshold for automatically identifying sparse Verilog memories.
345
; A memory with depth equal to or more than the sparse memory threshold gets
346
; marked as sparse automatically, unless specified otherwise in source code
347
; or by +nosparse commandline option of vlog or vopt.
348
; The default is 1M.  (i.e. memories with depth equal
349
; to or greater than 1M are marked as sparse)
350
; SparseMemThreshold = 1048576
351
 
352
; Run the 0-in compiler on the Verilog source files
353
; Default is off.
354
; ZeroIn = 1
355
 
356
; Set the options to be passed to the 0-in compiler.
357
; Default is "".
358
; ZeroInOptions = ""
359
 
360
; Set the prefix to be honored for synthesis and coverage pragma recognition.
361
; Default is "".
362
; AddPragmaPrefix = ""
363
 
364
; Ignore synthesis and coverage pragmas with this prefix.
365
; Default is "".
366
; IgnorePragmaPrefix = ""
367
 
368
; Set the option to treat all files specified in a vlog invocation as a
369
; single compilation unit. The default value is set to 0 which will treat
370
; each file as a separate compilation unit as specified in the P1800 draft standard.
371
; MultiFileCompilationUnit = 1
372
 
373
; Turn on code coverage in Verilog design units. Default is off.
374
; Coverage = sbceft
375
 
376
; Automatically exclude Verilog case statement default branches.
377
; Default is to not automatically exclude defaults.
378
; CoverExcludeDefault = 1
379
 
380
; Increase or decrease the maximum number of rows allowed in a FEC table, implementing
381
; a condition coverage or expression coverage expression, by changing FecEffort.
382
; Higher FecEffort leads to a longer compile time, but more expressions covered.
383
; This is a number from 1 to 3, with the following meanings (the default is 1):
384
;    3 -- High FecEffort, Allows large expressions to be covered, will cause longer compile time.
385
;    2 -- Medium FecEffort, Allows more number of inputs per expression than Low FecEffort to be covered.
386
;    1 -- Low FecEffort, Covers only small expressions or conditions and skips larger ones.
387
; FecEffort = 2
388
 
389
; Enable or disable Focused Expression Coverage analysis for conditions and
390
; expressions. Focused Expression Coverage data is provided by default when
391
; expression and/or condition coverage is active.
392
; CoverFEC = 0
393
 
394
; Enable or disable UDP Coverage analysis for conditions and expressions.
395
; UDP Coverage data is disabled by default when expression and/or condition
396
; coverage is active.
397
; CoverUDP = 1
398
 
399
; Enable or disable short circuit evaluation of conditions and expressions when
400
; condition or expression coverage is active. Short circuit evaluation is enabled
401
; by default.
402
; CoverShortCircuit = 0
403
 
404
 
405
; Turn on code coverage in VLOG `celldefine modules, modules containing
406
; specify blocks, and modules included using vlog -v and -y. Default is off.
407
; CoverCells = 1
408
 
409
; Enable code coverage reporting of code that has been optimized away.
410
; The default is not to report.
411
; CoverReportCancelled = 1
412
 
413
; Control compiler and VOPT optimizations that are allowed when
414
; code coverage is on. This is a number from 0 to 5, with the following
415
; meanings (the default is 3):
416
;    5 -- All allowable optimizations are on.
417
;    4 -- Turn off removing unreferenced code.
418
;    3 -- Turn off process, always block and if statement merging.
419
;    2 -- Turn off expression optimization, converting primitives
420
;         to continuous assignments, VHDL subprogram inlining.
421
;         and VHDL clkOpt (converting FF's to builtins).
422
;    1 -- Turn off continuous assignment optimizations and clock suppression.
423
;    0 -- Turn off Verilog module inlining and VHDL arch inlining.
424
; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
425
; level 3, with also turning off converting primitives to continuous assigns.
426
; CoverOpt = 3
427
 
428
; Specify the override for the default value of "cross_num_print_missing"
429
; option for the Cross in Covergroups. If not specified then LRM default
430
; value of 0 (zero) is used. This is a compile time option.
431
; SVCrossNumPrintMissingDefault = 0
432
 
433
; Setting following to 1 would cause creation of variables which
434
; would represent the value of Coverpoint expressions. This is used
435
; in conjunction with "SVCoverpointExprVariablePrefix" option
436
; in the modelsim.ini
437
; EnableSVCoverpointExprVariable = 0
438
 
439
; Specify the override for the prefix used in forming the variable names
440
; which represent the Coverpoint expressions. This is used in conjunction with
441
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
442
; The default prefix is "expr".
443
; The variable name is
444
;    variable name => _
445
; SVCoverpointExprVariablePrefix = expr
446
 
447
; Override for the default value of the SystemVerilog covergroup,
448
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
449
; NOTE: It does not override specific assignments in SystemVerilog
450
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
451
; in the [vsim] section can override this value.
452
; SVCovergroupGoalDefault = 100
453
 
454
; Override for the default value of the SystemVerilog covergroup,
455
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
456
; NOTE: It does not override specific assignments in SystemVerilog
457
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
458
; in the [vsim] section can override this value.
459
; SVCovergroupTypeGoalDefault = 100
460
 
461
; Specify the override for the default value of "strobe" option for the
462
; Covergroup Type. This is a compile time option which forces "strobe" to
463
; a user specified default value and supersedes SystemVerilog specified
464
; default value of '0'(zero). NOTE: This can be overriden by a runtime
465
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
466
; SVCovergroupStrobeDefault = 0
467
 
468
; Specify the override for the default value of "merge_instances" option for
469
; the Covergroup Type. This is a compile time option which forces
470
; "merge_instances" to a user specified default value and supersedes
471
; SystemVerilog specified default value of '0'(zero).
472
; SVCovergroupMergeInstancesDefault = 0
473
 
474
; Specify the override for the default value of "per_instance" option for the
475
; Covergroup variables. This is a compile time option which forces "per_instance"
476
; to a user specified default value and supersedes SystemVerilog specified
477
; default value of '0'(zero).
478
; SVCovergroupPerInstanceDefault = 0
479
 
480
; Specify the override for the default value of "get_inst_coverage" option for the
481
; Covergroup variables. This is a compile time option which forces
482
; "get_inst_coverage" to a user specified default value and supersedes
483
; SystemVerilog specified default value of '0'(zero).
484
; SVCovergroupGetInstCoverageDefault = 0
485
 
486
;
487
; A space separated list of resource libraries that contain precompiled
488
; packages.  The behavior is identical to using the "-L" switch.
489
;
490
; LibrarySearchPath =  [ ...]
491
LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF
492
 
493
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
494
; MixedAnsiPorts = 1
495
 
496
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
497
; EnableTypeOf = 1
498
 
499
; Only allow lower case pragmas. Default is disabled.
500
; AcceptLowerCasePragmaOnly = 1
501
 
502
; Set the maximum depth permitted for a recursive include file nesting.
503
; IncludeRecursionDepthMax = 5
504
 
505
; Turn ON detection of FSMs having single bit current state variable.
506
; FsmSingle = 1
507
 
508
; Turn off reset state transitions in FSM.
509
; FsmResetTrans = 0
510
 
511
; Turn off detections of FSMs having x-assignment.
512
; FsmXAssign = 0
513
 
514
; Turn ON detection of FSM Implicit Transitions.
515
; FsmImplicitTrans = 1
516
 
517
; List of file suffixes which will be read as SystemVerilog.  White space
518
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
519
; can be specified with two consecutive back-slashes: "\\";
520
; SVFileExtensions = sv svp svh
521
 
522
; This setting is the same as the vlog -sv command line switch.
523
; Enables SystemVerilog features and keywords when true (1).
524
; When false (0), the rules of IEEE Std 1364-2001 are followed and
525
; SystemVerilog keywords are ignored.
526
; Svlog = 0
527
 
528
; Prints attribute placed upon SV packages during package import
529
; when true (1).  The attribute will be ignored when this
530
; entry is false (0). The attribute name is "package_load_message".
531
; The value of this attribute is a string literal.
532
; Default is true (1).
533
; PrintSVPackageLoadingAttribute = 1
534
 
535
; Do not show immediate assertions with constant expressions in
536
; GUI/reports/UCDB etc. By default immediate assertions with constant
537
; expressions are shown in GUI/reports/UCDB etc. This does not affect
538
; evaluation of immediate assertions.
539
; ShowConstantImmediateAsserts = 0
540
 
541
; Controls if untyped parameters that are initialized with values greater
542
; than 2147483647 are mapped to generics of type INTEGER or ignored.
543
; If mapped to VHDL Integers, values greater than 2147483647
544
; are mapped to negative values.
545
; Default is to map these parameter to generic of type INTEGER
546
; ForceUnsignedToVHDLInteger = 1
547
 
548
; Enable AMS wreal (wired real) extensions.  Default is 0.
549
; WrealType = 1
550
 
551
; Controls SystemVerilog Language Extensions.  These options enable
552
; some non-LRM compliant behavior.  Valid extensions are "feci",
553
; "pae", "uslt" and "spsl".
554
; SVExtensions = uslt,spsl
555
 
556
[sccom]
557
; Enable use of SCV include files and library.  Default is off.
558
; UseScv = 1
559
 
560
; Add C++ compiler options to the sccom command line by using this variable.
561
; CppOptions = -g
562
 
563
; Use custom C++ compiler located at this path rather than the default path.
564
; The path should point directly at a compiler executable.
565
; CppPath = /usr/bin/g++
566
 
567
; Enable verbose messages from sccom.  Default is off.
568
; SccomVerbose = 1
569
 
570
; sccom logfile.  Default is no logfile.
571
; SccomLogfile = sccom.log
572
 
573
; Enable use of SC_MS include files and library.  Default is off.
574
; UseScMs = 1
575
 
576
[vopt]
577
; Turn on code coverage in vopt.  Default is off.
578
; Coverage = sbceft
579
 
580
; Control compiler optimizations that are allowed when
581
; code coverage is on.  Refer to the comment for this in the [vlog] area.
582
; CoverOpt = 3
583
 
584
; Increase or decrease the maximum number of rows allowed in a FEC table, implementing
585
; a condition coverage or expression coverage expression, by changing FecEffort.
586
; Higher FecEffort leads to a longer compile time, but more expressions covered.
587
; This is a number from 1 to 3, with the following meanings (the default is 1):
588
;    3 -- High FecEffort, Allows large expressions to be covered, will cause longer compile time.
589
;    2 -- Medium FecEffort, Allows more number of inputs per expression than Low FecEffort to be covered.
590
;    1 -- Low FecEffort, Covers only small expressions or conditions and skips larger ones.
591
; FecEffort = 2
592
 
593
; Enable code coverage reporting of code that has been optimized away.
594
; The default is not to report.
595
; CoverReportCancelled = 1
596
 
597
; Do not show immediate assertions with constant expressions in
598
; GUI/reports/UCDB etc. By default immediate assertions with constant
599
; expressions are shown in GUI/reports/UCDB etc. This does not affect
600
; evaluation of immediate assertions.
601
; ShowConstantImmediateAsserts = 0
602
 
603
; Set the maximum number of iterations permitted for a generate loop.
604
; Restricting this permits the implementation to recognize infinite
605
; generate loops.
606
; GenerateLoopIterationMax = 100000
607
 
608
; Set the maximum depth permitted for a recursive generate instantiation.
609
; Restricting this permits the implementation to recognize infinite
610
; recursions.
611
; GenerateRecursionDepthMax = 200
612
 
613
; Set the number of processes created during the code generation phase.
614
; By default a heuristic is used to set this value.  This may be set to 0
615
; to disable this feature completely.
616
; ParallelJobs = 0
617
 
618
; Controls SystemVerilog Language Extensions.  These options enable
619
; some non-LRM compliant behavior.  Valid extensions are "feci",
620
; "pae", "uslt" and "spsl".
621
; SVExtensions = uslt,spsl
622
 
623
[vsim]
624
; vopt flow
625
; Set to turn on automatic optimization of a design.
626
; Default is on
627
VoptFlow = 1
628
 
629
; Simulator resolution
630
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
631
Resolution = ns
632
 
633
; Disable certain code coverage exclusions automatically.
634
; Assertions and FSM are exluded from the code coverage by default
635
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
636
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
637
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
638
; Or specify comma or space separated list
639
;AutoExclusionsDisable = fsm,assertions
640
 
641
; User time unit for run commands
642
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
643
; unit specified for Resolution. For example, if Resolution is 100ps,
644
; then UserTimeUnit defaults to ps.
645
; Should generally be set to default.
646
UserTimeUnit = default
647
 
648
; Default run length
649
RunLength = 100
650
 
651
; Maximum iterations that can be run without advancing simulation time
652
IterationLimit = 5000
653
 
654
; Control PSL and Verilog Assume directives during simulation
655
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
656
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
657
; SimulateAssumeDirectives = 1
658
 
659
; Control the simulation of PSL and SVA
660
; These switches can be overridden by the vsim command line switches:
661
;    -psl, -nopsl, -sva, -nosva.
662
; Set SimulatePSL = 0 to disable PSL simulation
663
; Set SimulatePSL = 1 to enable PSL simulation (default)
664
; SimulatePSL = 1
665
; Set SimulateSVA = 0 to disable SVA simulation
666
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
667
; SimulateSVA = 1
668
 
669
; Control SVA and VHDL immediate assertion directives during simulation
670
; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts
671
; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
672
; SimulateImmedAsserts = 1
673
 
674
; Directives to license manager can be set either as single value or as
675
; space separated multi-values:
676
; vhdl          Immediately reserve a VHDL license
677
; vlog          Immediately reserve a Verilog license
678
; plus          Immediately reserve a VHDL and Verilog license
679
; noqueue       Do not wait in the license queue when a license is not available
680
; viewsim       Try for viewer license but accept simulator license(s) instead
681
;               of queuing for viewer license (PE ONLY)
682
; noviewer      Disable checkout of msimviewer and vsim-viewer license
683
;               features (PE ONLY)
684
; noslvhdl      Disable checkout of qhsimvh and vsim license features
685
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
686
; nomix         Disable checkout of msimhdlmix and hdlmix license features
687
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
688
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
689
;               features
690
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
691
;               hdlmix license features
692
; Single value:
693
; License = plus
694
; Multi-value:
695
; License = noqueue plus
696
 
697
; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion
698
; which will cause a running simulation to stop.
699
; VHDL assertions and SystemVerilog immediate assertions that occur with the
700
; given severity or higher will cause a running simulation to stop.
701
; This value is ignored during elaboration.
702
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
703
BreakOnAssertion = 3
704
 
705
; The class debug feature enables more visibility and tracking of class instances
706
; during simulation.  By default this feature is 0 (disabled).  To enable this
707
; feature set ClassDebug to 1.
708
; ClassDebug = 1
709
 
710
; Message Format conversion specifications:
711
; %S - Severity Level of message/assertion
712
; %R - Text of message
713
; %T - Time of message
714
; %D - Delta value (iteration number) of Time
715
; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
716
; %i - Instance/Region/Signal pathname with Process name (if available)
717
; %I - shorthand for one of these:
718
;      "  %K: %i"
719
;      "  %K: %i File: %F" (when path is not Process or Signal)
720
;      except that the %i in this case does not report the Process name
721
; %O - Process name
722
; %P - Instance/Region path without leaf process
723
; %F - File name
724
; %L - Line number; if assertion message, then line number of assertion or, if
725
;      assertion is in a subprogram, line from which the call is made
726
; %u - Design unit name in form library.primary
727
; %U - Design unit name in form library.primary(secondary)
728
; %% - The '%' character itself
729
;
730
; If specific format for Severity Level is defined, use that format.
731
; Else, for a message that occurs during elaboration:
732
;   -- Failure/Fatal message in VHDL region that is not a Process, and in
733
;      certain non-VHDL regions, uses MessageFormatBreakLine;
734
;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
735
;   -- Note/Warning/Error message uses MessageFormat.
736
; Else, for a message that occurs during runtime and triggers a breakpoint because
737
; of the BreakOnAssertion setting:
738
;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
739
;   -- otherwise uses MessageFormatBreak.
740
; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
741
;
742
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
743
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
744
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
745
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
746
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
747
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
748
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
749
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
750
 
751
; Error File - alternate file for storing error messages
752
; ErrorFile = error.log
753
 
754
; Simulation Breakpoint messages
755
; This flag controls the display of function names when reporting the location
756
; where the simulator stops because of a breakpoint or fatal error.
757
; Example with function name:    # Break in Process ctr at counter.vhd line 44
758
; Example without function name: # Break at counter.vhd line 44
759
; Default value is 1.
760
ShowFunctions = 1
761
 
762
; Default radix for all windows and commands.
763
; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
764
; Flags may be one of: enumnumeric, showbase
765
DefaultRadix = hex
766
;DefaultRadixFlags = showbase
767
 
768
; VSIM Startup command
769
; Startup = do startup.do
770
 
771
; VSIM Shutdown file
772
; Filename to save u/i formats and configurations.
773
; ShutdownFile = restart.do
774
; To explicitly disable auto save:
775
; ShutdownFile = --disable-auto-save
776
 
777
; File for saving command transcript
778
TranscriptFile = transcript
779
 
780
; File for saving command history
781
; CommandHistory = cmdhist.log
782
 
783
; Specify whether paths in simulator commands should be described
784
; in VHDL or Verilog format.
785
; For VHDL, PathSeparator = /
786
; For Verilog, PathSeparator = .
787
; Must not be the same character as DatasetSeparator.
788
PathSeparator = /
789
 
790
; Specify the dataset separator for fully rooted contexts.
791
; The default is ':'. For example: sim:/top
792
; Must not be the same character as PathSeparator.
793
DatasetSeparator = :
794
 
795
; Specify a unique path separator for the Signal Spy set of functions.
796
; The default will be to use the PathSeparator variable.
797
; Must not be the same character as DatasetSeparator.
798
; SignalSpyPathSeparator = /
799
 
800
; Used to control parsing of HDL identifiers input to the tool.
801
; This includes CLI commands, vsim/vopt/vlog/vcom options,
802
; string arguments to FLI/VPI/DPI calls, etc.
803
; If set to 1, accept either Verilog escaped Id syntax or
804
; VHDL extended id syntax, regardless of source language.
805
; If set to 0, the syntax of the source language must be used.
806
; Each identifier in a hierarchical name may need different syntax,
807
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
808
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
809
; GenerousIdentifierParsing = 1
810
 
811
; Disable VHDL assertion messages
812
; IgnoreNote = 1
813
; IgnoreWarning = 1
814
; IgnoreError = 1
815
; IgnoreFailure = 1
816
 
817
; Disable SystemVerilog assertion messages
818
; IgnoreSVAInfo = 1
819
; IgnoreSVAWarning = 1
820
; IgnoreSVAError = 1
821
; IgnoreSVAFatal = 1
822
 
823
; Do not print any additional information from Severity System tasks.
824
; Only the message provided by the user is printed along with severity
825
; information.
826
; SVAPrintOnlyUserMessage = 1;
827
 
828
; Default force kind. May be freeze, drive, deposit, or default
829
; or in other terms, fixed, wired, or charged.
830
; A value of "default" will use the signal kind to determine the
831
; force kind, drive for resolved signals, freeze for unresolved signals
832
; DefaultForceKind = freeze
833
 
834
; Control the iteration of events when a VHDL signal is forced to a value
835
; This flag can be set to honour the signal update event in next iteration,
836
; the default is to update and propagate in the same iteration.
837
; ForceSigNextIter = 1
838
 
839
 
840
; If zero, open files when elaborated; otherwise, open files on
841
; first read or write.  Default is 0.
842
; DelayFileOpen = 1
843
 
844
; Control VHDL files opened for write.
845
;   0 = Buffered, 1 = Unbuffered
846
UnbufferedOutput = 0
847
 
848
; Control the number of VHDL files open concurrently.
849
; This number should always be less than the current ulimit
850
; setting for max file descriptors.
851
;   0 = unlimited
852
ConcurrentFileLimit = 40
853
 
854
; Control the number of hierarchical regions displayed as
855
; part of a signal name shown in the Wave window.
856
; A value of zero tells VSIM to display the full name.
857
; The default is 0.
858
; WaveSignalNameWidth = 0
859
 
860
; Turn off warnings when changing VHDL constants and generics
861
; Default is 1 to generate warning messages
862
; WarnConstantChange = 0
863
 
864
; Turn off warnings from accelerated versions of the std_logic_arith,
865
; std_logic_unsigned, and std_logic_signed packages.
866
; StdArithNoWarnings = 1
867
 
868
; Turn off warnings from accelerated versions of the IEEE numeric_std
869
; and numeric_bit packages.
870
; NumericStdNoWarnings = 1
871
 
872
; Use old-style (pre-6.6) VHDL FOR generate statement iteration names
873
; in the design hierarchy.
874
; This style is controlled by the value of the GenerateFormat
875
; value described next.  Default is to use new-style names, which
876
; comprise the generate statement label, '(', the value of the generate
877
; parameter, and a closing ')'.
878
; Uncomment this to use old-style names.
879
; OldVhdlForGenNames = 1
880
 
881
; Control the format of the old-style VHDL FOR generate statement region
882
; name for each iteration.  Do not quote it.
883
; The format string here must contain the conversion codes %s and %d,
884
; in that order, and no other conversion codes.  The %s represents
885
; the generate statement label; the %d represents the generate parameter value
886
; at a particular iteration (this is the position number if the generate parameter
887
; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
888
; leading and trailing whitespace is ignored.
889
; Application of the format must result in a unique region name over all
890
; loop iterations for a particular immediately enclosing scope so that name
891
; lookup can function properly.  The default is %s__%d.
892
; GenerateFormat = %s__%d
893
 
894
; Enable changes in VHDL elaboration to allow for Variable Logging
895
; This trades off simulation performance for the ability to log variables
896
; efficiently.  By default this is disable for maximum simulation performance
897
; VhdlVariableLogging = 1
898
 
899
; Specify whether checkpoint files should be compressed.
900
; The default is 1 (compressed).
901
; CheckpointCompressMode = 0
902
 
903
; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
904
; Use custom gcc compiler located at this path rather than the default path.
905
; The path should point directly at a compiler executable.
906
; DpiCppPath = /bin/gcc
907
 
908
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
909
; The term "out-of-the-blue" refers to SystemVerilog export function calls
910
; made from C functions that don't have the proper context setup
911
; (as is the case when running under "DPI-C" import functions).
912
; When this is enabled, one can call a DPI export function
913
; (but not task) from any C code.
914
; the setting of this variable can be one of the following values:
915
; 0 : dpioutoftheblue call is disabled (default)
916
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
917
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
918
; DpiOutOfTheBlue = 1
919
 
920
; Specify whether continuous assignments are run before other normal priority
921
; processes scheduled in the same iteration. This event ordering minimizes race
922
; differences between optimized and non-optimized designs, and is the default
923
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
924
; ImmediateContinuousAssign to 0.
925
; The default is 1 (enabled).
926
; ImmediateContinuousAssign = 0
927
 
928
; List of dynamically loaded objects for Verilog PLI applications
929
; Veriuser = veriuser.sl
930
 
931
; Which default VPI object model should the tool conform to?
932
; The 1364 modes are Verilog-only, for backwards compatibility with older
933
; libraries, and SystemVerilog objects are not available in these modes.
934
;
935
; In the absence of a user-specified default, the tool default is the
936
; latest available LRM behavior.
937
; Options for PliCompatDefault are:
938
;  VPI_COMPATIBILITY_VERSION_1364v1995
939
;  VPI_COMPATIBILITY_VERSION_1364v2001
940
;  VPI_COMPATIBILITY_VERSION_1364v2005
941
;  VPI_COMPATIBILITY_VERSION_1800v2005
942
;  VPI_COMPATIBILITY_VERSION_1800v2008
943
;
944
; Synonyms for each string are also recognized:
945
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
946
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
947
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
948
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
949
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
950
 
951
 
952
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
953
 
954
; Specify whether the Verilog system task $fopen or vpi_mcd_open()
955
; will create directories that do not exist when opening the file
956
; in "a" or "w" mode.
957
; The default is 0 (do not create non-existent directories)
958
; CreateDirForFileAccess = 1
959
 
960
; Specify default options for the restart command. Options can be one
961
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
962
; DefaultRestartOptions = -force
963
 
964
 
965
; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
966
; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe.
967
; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
968
; The list of options must be delimited by commas, without spaces or tabs.
969
; The default is UVMControl = struct
970
 
971
; Some examples
972
; To turn on all available UVM-aware debug features:
973
; UVMControl = all
974
; To turn on the struct window, mesage logging, and transaction logging:
975
; UVMControl = struct,msglog,trlog
976
; To turn on all options except certe:
977
; UVMControl = all,-certe
978
; To completely disable all UVM-aware debug functionality:
979
; UVMControl = disable
980
 
981
 
982
; Turn on (1) or off (0) WLF file compression.
983
; The default is 1 (compress WLF file).
984
; WLFCompress = 0
985
 
986
; Specify whether to save all design hierarchy (1) in the WLF file
987
; or only regions containing logged signals (0).
988
; The default is 0 (save only regions with logged signals).
989
; WLFSaveAllRegions = 1
990
 
991
; WLF file time limit.  Limit WLF file by time, as closely as possible,
992
; to the specified amount of simulation time.  When the limit is exceeded
993
; the earliest times get truncated from the file.
994
; If both time and size limits are specified the most restrictive is used.
995
; UserTimeUnits are used if time units are not specified.
996
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
997
; WLFTimeLimit = 0
998
 
999
; WLF file size limit.  Limit WLF file size, as closely as possible,
1000
; to the specified number of megabytes.  If both time and size limits
1001
; are specified then the most restrictive is used.
1002
; The default is 0 (no limit).
1003
; WLFSizeLimit = 1000
1004
 
1005
; Specify whether or not a WLF file should be deleted when the
1006
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
1007
; The default is 0 (do not delete WLF file when simulation ends).
1008
; WLFDeleteOnQuit = 1
1009
 
1010
; Specify whether or not a WLF file should be optimized during
1011
; simulation.  If set to 0, the WLF file will not be optimized.
1012
; The default is 1, optimize the WLF file.
1013
; WLFOptimize = 0
1014
 
1015
; Specify the name of the WLF file.
1016
; The default is vsim.wlf
1017
; WLFFilename = vsim.wlf
1018
 
1019
; Specify whether to lock the WLF file.
1020
; Locking the file prevents other invocations of ModelSim/Questa tools from
1021
; inadvertently overwriting the WLF file.
1022
; The default is 1, lock the WLF file.
1023
; WLFFileLock = 0
1024
 
1025
; Specify the update interval for the WLF file.
1026
; Value is the number of seconds between updated.  After at least the
1027
; interval number of seconds, the wlf file is flushed, ensuring that the data
1028
; is correct when viewed from a separate live viewer.  Setting to 0 means no
1029
; updating.  Default is 10 seconds, which has a tiny performance impact
1030
; WLFUpdateInterval = 10
1031
 
1032
; Specify the WLF reader cache size limit for each open WLF file.
1033
; The size is giving in megabytes.  A value of 0 turns off the
1034
; WLF cache.
1035
; WLFSimCacheSize allows a different cache size to be set for
1036
; simulation WLF file independent of post-simulation WLF file
1037
; viewing.  If WLFSimCacheSize is not set it defaults to the
1038
; WLFCacheSize setting.
1039
; The default WLFCacheSize setting is enabled to 2000M per open WLF file on most
1040
; platforms; on Windows, the setting is 1000M to help avoid filling process memory.
1041
; WLFCacheSize = 2000
1042
; WLFSimCacheSize = 500
1043
 
1044
; Specify the WLF file event collapse mode.
1045
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
1046
; 1 = Only record values of logged objects at the end of a simulator iteration.
1047
;     (same as -wlfcollapsedelta)
1048
; 2 = Only record values of logged objects at the end of a simulator time step.
1049
;     (same as -wlfcollapsetime)
1050
; The default is 1.
1051
; WLFCollapseMode = 0
1052
 
1053
; Specify whether WLF file logging can use threads on multi-processor machines
1054
; if 0, no threads will be used, if 1, threads will be used if the system has
1055
; more than one processor
1056
; WLFUseThreads = 1
1057
 
1058
; Specify the relative size of logged objects that will trigger "large object"
1059
; messages at log/wave/list time.  This size value is an approximation of
1060
; the number of bytes needed to store the value of the object before compression
1061
; and optimization.
1062
; The default LargeObjectSize size is 500k
1063
; LargeObjectSize = 500000
1064
 
1065
; Specify whether to output "large object" warning messages.
1066
; The default is 0 which means the warning messages will come out.
1067
; LargeObjectSilent = 0
1068
 
1069
; Turn on/off undebuggable SystemC type warnings. Default is on.
1070
; ShowUndebuggableScTypeWarning = 0
1071
 
1072
; Turn on/off unassociated SystemC name warnings. Default is off.
1073
; ShowUnassociatedScNameWarning = 1
1074
 
1075
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
1076
; ScShowIeeeDeprecationWarnings = 1
1077
 
1078
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
1079
; ScEnableScSignalWriteCheck = 1
1080
 
1081
; Set SystemC default time unit.
1082
; Set to fs, ps, ns, us, ms, or sec with optional
1083
; prefix of 1, 10, or 100.  The default is 1 ns.
1084
; The ScTimeUnit value is honored if it is coarser than Resolution.
1085
; If ScTimeUnit is finer than Resolution, it is set to the value
1086
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
1087
; then the default time unit will be 1 ns.  However if Resolution
1088
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
1089
ScTimeUnit = ns
1090
 
1091
; Set SystemC sc_main stack size. The stack size is set as an integer
1092
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1093
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
1094
; on the amount of data on the sc_main() stack and the memory required
1095
; to succesfully execute the longest function call chain of sc_main().
1096
ScMainStackSize = 10 Mb
1097
 
1098
; Turn on/off execution of remainder of sc_main upon quitting the current
1099
; simulation session. If the cumulative length of sc_main() in terms of
1100
; simulation time units is less than the length of the current simulation
1101
; run upon quit or restart, sc_main() will be in the middle of execution.
1102
; This switch gives the option to execute the remainder of sc_main upon
1103
; quitting simulation. The drawback of not running sc_main till the end
1104
; is memory leaks for objects created by sc_main. If on, the remainder of
1105
; sc_main will be executed ignoring all delays. This may cause the simulator
1106
; to crash if the code in sc_main is dependent on some simulation state.
1107
; Default is on.
1108
ScMainFinishOnQuit = 1
1109
 
1110
; Set the SCV relationship name that will be used to identify phase
1111
; relations.  If the name given to a transactor relation matches this
1112
; name, the transactions involved will be treated as phase transactions
1113
ScvPhaseRelationName = mti_phase
1114
 
1115
; Customize the vsim kernel shutdown behavior at the end of the simulation.
1116
; Some common causes of the end of simulation are $finish (implicit or explicit),
1117
; sc_stop(), tf_dofinish(), and assertion failures.
1118
; This should be set to "ask", "exit", or "stop". The default is "ask".
1119
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
1120
;            In GUI mode, a dialog box will pop up and ask for user confirmation
1121
;            whether or not to quit the simulation.
1122
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
1123
;            post-simulation tasks easier.
1124
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
1125
; "final" -- Run SystemVerilog final blocks then behave as "stop".
1126
; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
1127
OnFinish = ask
1128
 
1129
; Print pending deferred assertion messages.
1130
; Deferred assertion messages may be scheduled after the $finish in the same
1131
; time step. Deferred assertions scheduled to print after the $finish are
1132
; printed before exiting with severity level NOTE since it's not known whether
1133
; the assertion is still valid due to being printed in the active region
1134
; instead of the reactive region where they are normally printed.
1135
; OnFinishPendingAssert = 1;
1136
 
1137
; Print "simstats" result
1138
; 0 == do not print simstats
1139
; 1 == print at end of simulation
1140
; 2 == print at end of run
1141
; 3 == print at end of run and end of simulation
1142
; default == 0
1143
; PrintSimStats = 1
1144
 
1145
 
1146
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
1147
; AssertFile = assert.log
1148
 
1149
; Enable assertion counts. Default is off.
1150
; AssertionCover = 1
1151
 
1152
; Run simulator in assertion debug mode. Default is off.
1153
; AssertionDebug = 1
1154
 
1155
; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
1156
; AssertionEnable = 0
1157
 
1158
; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
1159
; Any positive integer, -1 for infinity.
1160
; AssertionLimit = 1
1161
 
1162
; Turn on/off concurrent assertion pass log. Default is off.
1163
; Assertion pass logging is only enabled when assertion is browseable
1164
; and assertion debug is enabled.
1165
; AssertionPassLog = 1
1166
 
1167
; Turn on/off PSL concurrent assertion fail log. Default is on.
1168
; The flag does not affect SVA
1169
; AssertionFailLog = 0
1170
 
1171
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
1172
; AssertionFailLocalVarLog = 0
1173
 
1174
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
1175
; 0 = Continue  1 = Break  2 = Exit
1176
; AssertionFailAction = 1
1177
 
1178
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
1179
; AssertionActiveThreadMonitor = 1
1180
 
1181
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
1182
; AssertionActiveThreadMonitorLimit = 5
1183
 
1184
; Assertion thread limit after which assertion would be killed/switched off.
1185
; The default is -1 (unlimited). If the number of threads for an assertion go
1186
; beyond this limit, the assertion would be either switched off or killed. This
1187
; limit applies to only assert directives.
1188
;AssertionThreadLimit = -1
1189
 
1190
; Action to be taken once the assertion thread limit is reached. Default
1191
; is kill. It can have a value of off or kill. In case of kill, all the existing
1192
; threads are terminated and no new attempts are started. In case of off, the
1193
; existing attempts keep on evaluating but no new attempts are started. This
1194
; variable applies to only assert directives.
1195
;AssertionThreadLimitAction = kill
1196
 
1197
; Cover thread limit after which cover would be killed/switched off.
1198
; The default is -1 (unlimited). If the number of threads for a cover go
1199
; beyond this limit, the cover would be either switched off or killed. This
1200
; limit applies to only cover directives.
1201
;CoverThreadLimit = -1
1202
 
1203
; Action to be taken once the cover thread limit is reached. Default
1204
; is kill. It can have a value of off or kill. In case of kill, all the existing
1205
; threads are terminated and no new attempts are started. In case of off, the
1206
; existing attempts keep on evaluating but no new attempts are started. This
1207
; variable applies to only cover directives.
1208
;CoverThreadLimitAction = kill
1209
 
1210
 
1211
; By default immediate assertions do not participate in Assertion Coverage calculations
1212
; unless they are executed.  This switch causes all immediate assertions in the design
1213
; to participate in Assertion Coverage calculations, whether attempted or not.
1214
; UnattemptedImmediateAssertions = 0
1215
 
1216
; By default immediate covers participate in Coverage calculations
1217
; whether they are attempted or not. This switch causes all unattempted
1218
; immediate covers in the design to stop participating in Coverage
1219
; calculations.
1220
; UnattemptedImmediateCovers = 0
1221
 
1222
; By default pass action block is not executed for assertions on vacuous
1223
; success. The following variable is provided to enable execution of
1224
; pass action block on vacuous success. The following variable is only effective
1225
; if the user does not disable pass action block execution by using either
1226
; system tasks or CLI. Also there is a performance penalty for enabling
1227
; the following variable.
1228
;AssertionEnableVacuousPassActionBlock = 1
1229
 
1230
; As per strict 1850-2005 PSL LRM, an always property can either pass
1231
; or fail. However, by default, Questa reports multiple passes and
1232
; multiple fails on top always/never property (always/never operator
1233
; is the top operator under Verification Directive). The reason
1234
; being that Questa reports passes and fails on per attempt of the
1235
; top always/never property. Use the following flag to instruct
1236
; Questa to strictly follow LRM. With this flag, all assert/never
1237
; directives will start an attempt once at start of simulation.
1238
; The attempt can either fail, match or match vacuously.
1239
; For e.g. if always is the top operator under assert, the always will
1240
; keep on checking the property at every clock. If the property under
1241
; always fails, the directive will be considered failed and no more
1242
; checking will be done for that directive. A top always property,
1243
; if it does not fail, will show a pass at end of simulation.
1244
; The default value is '0' (i.e. zero is off). For example:
1245
; PslOneAttempt = 1
1246
 
1247
; Specify the number of clock ticks to represent infinite clock ticks.
1248
; This affects eventually!, until! and until_!. If at End of Simulation
1249
; (EOS) an active strong-property has not clocked this number of
1250
; clock ticks then neither pass or fail (vacuous match) is returned
1251
; else respective fail/pass is returned. The default value is '0' (zero)
1252
; which effectively does not check for clock tick condition. For example:
1253
; PslInfinityThreshold = 5000
1254
 
1255
; Control how many thread start times will be preserved for ATV viewing for a given assertion
1256
; instance.  Default is -1 (ALL).
1257
; ATVStartTimeKeepCount = -1
1258
 
1259
; Turn on/off code coverage
1260
; CodeCoverage = 0
1261
 
1262
; Count all code coverage condition and expression truth table rows that match.
1263
; CoverCountAll = 1
1264
 
1265
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
1266
; is to include them.
1267
; ToggleNoIntegers = 1
1268
 
1269
; Set the maximum number of values that are collected for toggle coverage of
1270
; VHDL integers. Default is 100;
1271
; ToggleMaxIntValues = 100
1272
 
1273
; Set the maximum number of values that are collected for toggle coverage of
1274
; Verilog real. Default is 100;
1275
; ToggleMaxRealValues = 100
1276
 
1277
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
1278
; for enumeration types. Default is to include them.
1279
; ToggleVlogIntegers = 0
1280
 
1281
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1282
; for shortreal types. Default is to not include them.
1283
; ToggleVlogReal = 1
1284
 
1285
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
1286
; and VHDL arrays-of-arrays in toggle coverage.
1287
; Default is to not include them.
1288
; ToggleFixedSizeArray = 1
1289
 
1290
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
1291
; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
1292
; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
1293
; Default is 1024.
1294
; ToggleMaxFixedSizeArray = 1024
1295
 
1296
; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
1297
; one-dimensional packed vectors for toggle coverage. Default is 0.
1298
; TogglePackedAsVec = 0
1299
 
1300
; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
1301
; toggle coverage. Default is 0.
1302
; ToggleVlogEnumBits = 0
1303
 
1304
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1305
; For unlimited width, set to 0.
1306
; ToggleWidthLimit = 128
1307
 
1308
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1309
; reached this count, further activity on the bit is ignored. Default is 1.
1310
; For unlimited counts, set to 0.
1311
; ToggleCountLimit = 1
1312
 
1313
; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
1314
; Following is the toggle coverage calculation criteria based on extended toggle mode:
1315
; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
1316
; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
1317
; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
1318
; ExtendedToggleMode = 3
1319
 
1320
; Enable toggle statistics collection only for ports. Default is 0.
1321
; TogglePortsOnly = 1
1322
 
1323
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1324
; CoverEnable = 0
1325
 
1326
; Turn on/off PSL/SVA cover log.  Default is off "0".
1327
; CoverLog = 1
1328
 
1329
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1330
; CoverAtLeast = 2
1331
 
1332
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1333
; Any positive integer, -1 for infinity.
1334
; CoverLimit = 1
1335
 
1336
; Specify the coverage database filename.
1337
; Default is "" (i.e. database is NOT automatically saved on close).
1338
; UCDBFilename = vsim.ucdb
1339
 
1340
; Specify the maximum limit for the number of Cross (bin) products reported
1341
; in XML and UCDB report against a Cross. A warning is issued if the limit
1342
; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
1343
; setting.
1344
; MaxReportRhsSVCrossProducts = 1000
1345
 
1346
; Specify the override for the "auto_bin_max" option for the Covergroups.
1347
; If not specified then value from Covergroup "option" is used.
1348
; SVCoverpointAutoBinMax = 64
1349
 
1350
; Specify the override for the value of "cross_num_print_missing"
1351
; option for the Cross in Covergroups. If not specified then value
1352
; specified in the "option.cross_num_print_missing" is used. This
1353
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1354
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1355
; specified in modelsim.ini.
1356
; SVCrossNumPrintMissing = 0
1357
 
1358
; Specify whether to use the value of "cross_num_print_missing"
1359
; option in report and GUI for the Cross in Covergroups. If not specified then
1360
; cross_num_print_missing is ignored for creating reports and displaying
1361
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1362
; UseSVCrossNumPrintMissing = 0
1363
 
1364
; Specify the threshold of Coverpoint wildcard bin value range size, above which
1365
; a warning will be triggered. The default is 4K -- 12 wildcard bits.
1366
; SVCoverpointWildCardBinValueSizeWarn = 4096
1367
 
1368
; Specify the override for the value of "strobe" option for the
1369
; Covergroup Type. If not specified then value in "type_option.strobe"
1370
; will be used. This is runtime option which forces "strobe" to
1371
; user specified value and supersedes user specified values in the
1372
; SystemVerilog Code. NOTE: This also overrides the compile time
1373
; default value override specified using "SVCovergroupStrobeDefault"
1374
; SVCovergroupStrobe = 0
1375
 
1376
; Override for explicit assignments in source code to "option.goal" of
1377
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1378
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1379
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1380
; SVCovergroupGoal = 100
1381
 
1382
; Override for explicit assignments in source code to "type_option.goal" of
1383
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1384
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1385
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1386
; SVCovergroupTypeGoal = 100
1387
 
1388
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1389
; builtin functions, and report. This setting changes the default values of
1390
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1391
; behavior if explicit assignments are not made on option.get_inst_coverage and
1392
; type_option.merge_instances by the user. There are two vsim command line
1393
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1394
; The default value of this variable from release 6.6 onwards is 0. This default
1395
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1396
; SVCovergroup63Compatibility = 0
1397
 
1398
; Enforce the 6.5 default behavior of covergroup get_coverage() builtin
1399
; functions, GUI, and report. This setting changes the default values of
1400
; type_option.merge_instances to ensure the 6.5 default behavior if explicit
1401
; assignments are not made on type_option.merge_instances by the user.
1402
; There are two vsim command line options, -cvgmergeinstances and
1403
; -nocvgmergeinstances to override this setting from vsim command line.
1404
; The default value of this variable from release 6.6 onwards is 0. This default
1405
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1406
; SvCovergroupMergeInstancesDefault = 1
1407
 
1408
; Enable or disable generation of more detailed information about the sampling
1409
; of covergroup, cross, and coverpoints. It provides the details of the number
1410
; of times the covergroup instance and type were sampled, as well as details
1411
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1412
; is to enable this feature. 0 is to disable this feature. Default is 0
1413
; SVCovergroupSampleInfo = 0
1414
 
1415
; Specify the maximum number of Coverpoint bins in whole design for
1416
; all Covergroups.
1417
; MaxSVCoverpointBinsDesign = 2147483648
1418
 
1419
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1420
; MaxSVCoverpointBinsInst = 2147483648
1421
 
1422
; Specify the maximum number of Cross bins in whole design for
1423
; all Covergroups.
1424
; MaxSVCrossBinsDesign = 2147483648
1425
 
1426
; Specify maximum number of Cross bins in any instance of a Covergroup
1427
; MaxSVCrossBinsInst = 2147483648
1428
 
1429
; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
1430
; By default, this variable is set 0, in which case option.no_collect setting will take effect.
1431
; If this variable is set to 1, all zero-weight coverage items will not be saved.
1432
; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting
1433
; of this variable.
1434
; CvgZWNoCollect = 1
1435
 
1436
; Specify a space delimited list of double quoted TCL style
1437
; regular expressions which will be matched against the text of all messages.
1438
; If any regular expression is found to be contained within any message, the
1439
; status for that message will not be propagated to the UCDB TESTSTATUS.
1440
; If no match is detected, then the status will be propagated to the
1441
; UCDB TESTSTATUS. More than one such regular expression text is allowed,
1442
; and each message text is compared for each regular expression in the list.
1443
; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
1444
 
1445
; Set weight for all PSL/SVA cover directives.  Default is 1.
1446
; CoverWeight = 2
1447
 
1448
; Check vsim plusargs.  Default is 0 (off).
1449
; 0 = Don't check plusargs
1450
; 1 = Warning on unrecognized plusarg
1451
; 2 = Error and exit on unrecognized plusarg
1452
; CheckPlusargs = 1
1453
 
1454
; Load the specified shared objects with the RTLD_GLOBAL flag.
1455
; This gives global visibility to all symbols in the shared objects,
1456
; meaning that subsequently loaded shared objects can bind to symbols
1457
; in the global shared objects.  The list of shared objects should
1458
; be whitespace delimited.  This option is not supported on the
1459
; Windows or AIX platforms.
1460
; GlobalSharedObjectList = example1.so example2.so example3.so
1461
 
1462
; Run the 0in tools from within the simulator.
1463
; Default is off.
1464
; ZeroIn = 1
1465
 
1466
; Set the options to be passed to the 0in runtime tool.
1467
; Default value set to "".
1468
; ZeroInOptions = ""
1469
 
1470
; Initial seed for the random number generator of the root thread (SystemVerilog).
1471
; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
1472
; The default value is 0.
1473
; Sv_Seed = 0
1474
 
1475
; Specify the solver "engine" that vsim will select for constrained random
1476
; generation.
1477
; Valid values are:
1478
;    "auto" - automatically select the best engine for the current
1479
;             constraint scenario
1480
;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
1481
;    "act"  - evaluate all constraint scenarios using the ACT solver engine
1482
; While the BDD solver engine is generally efficient with constraint scenarios
1483
; involving bitwise logical relationships, the ACT solver engine can exhibit
1484
; superior performance with constraint scenarios involving large numbers of
1485
; random variables related via arithmetic operators (+, *, etc).
1486
; NOTE: This variable can be overridden with the vsim "-solveengine" command
1487
; line switch.
1488
; The default value is "auto".
1489
; SolveEngine = auto
1490
 
1491
; Specify if the solver should attempt to ignore overflow/underflow semantics
1492
; for arithmetic constraints (multiply, addition, subtraction) in order to
1493
; improve performance. The "solveignoreoverflow" attribute can be specified on
1494
; a per-call basis to randomize() to override this setting.
1495
; The default value is 0 (overflow/underflow is not ignored). Set to 1 to
1496
; ignore overflow/underflow.
1497
; SolveIgnoreOverflow = 0
1498
 
1499
; Specifies the maximum size that a dynamic array may be resized to by the
1500
; solver. If the solver attempts to resize a dynamic array to a size greater
1501
; than the specified limit, the solver will abort with an error.
1502
; The default value is 2000. A value of 0 indicates no limit.
1503
; SolveArrayResizeMax = 2000
1504
 
1505
; Error message severity when randomize() failure is detected (SystemVerilog).
1506
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1507
; The default is 0 (no error).
1508
; SolveFailSeverity = 0
1509
 
1510
; Enable/disable debug information for randomize() failures.
1511
; NOTE: This variable can be overridden with the vsim "-solvefaildbug" command
1512
; line switch.
1513
; The default is 0 (disabled). Set to 1 to enable.
1514
; SolveFailDebug = 0
1515
 
1516
; Specify the maximum size of the solution graph generated by the BDD solver.
1517
; This value can be used to force the BDD solver to abort the evaluation of a
1518
; complex constraint scenario that cannot be evaluated with finite memory.
1519
; This value is specified in 1000s of nodes.
1520
; The default value is 10000. A value of 0 indicates no limit.
1521
; SolveGraphMaxSize = 10000
1522
 
1523
; Specify the maximum number of evaluations that may be performed on the
1524
; solution graph by the BDD solver. This value can be used to force the BDD
1525
; solver to abort the evaluation of a complex constraint scenario that cannot
1526
; be evaluated in finite time. This value is specified in 10000s of evaluations.
1527
; The default value is 10000. A value of 0 indicates no limit.
1528
; SolveGraphMaxEval = 10000
1529
 
1530
; Specify the maximum number of tests that the ACT solver may evaluate before
1531
; abandoning an attempt to solve a particular constraint scenario.
1532
; The default value is 2000000.  A value of 0 indicates no limit.
1533
; SolveACTMaxTests = 2000000
1534
 
1535
; Specify the maximum number of operations that the ACT solver may perform
1536
; before abandoning an attempt to solve a particular constraint scenario.  The
1537
; value is specified in 1000000s of operations.
1538
; The default value is 10000. A value of 0 indicates no limit.
1539
; SolveACTMaxOps = 10000
1540
 
1541
; Specify the number of times the ACT solver will retry to evaluate a constraint
1542
; scenario that fails due to the SolveACTMaxTests threshold.
1543
; The default value is 0 (no retry).
1544
; SolveACTRetryCount = 0
1545
 
1546
; SolveSpeculateLevel controls whether or not the solver performs speculation
1547
; during the evaluation of a constraint scenario.
1548
; Speculation is an attempt to partition complex constraint scenarios by
1549
; choosing a 'speculation' subset of the variables and constraints.  This
1550
; 'speculation' set is solved independently of the remaining constraints.
1551
; The solver then attempts to solve the remaining variables and constraints
1552
; (the 'dependent' set).  If this attempt fails, the solver backs up and
1553
; re-solves the 'speculation' set, then retries the 'dependent' set.
1554
; Valid values are:
1555
;    0 - no speculation
1556
;    1 - enable speculation that maintains LRM specified distribution
1557
;    2 - enable other speculation - may yield non-LRM distribution
1558
; Currently, distribution constraints and solve-before constraints are
1559
; used in selecting the 'speculation' sets for speculation level 1. Non-LRM
1560
; compliant speculation includes random variables in condition expressions.
1561
; The default value is 0.
1562
; SolveSpeculateLevel = 0
1563
 
1564
; By default, when speculation is enabled, the solver first tries to solve a
1565
; constraint scenario *without* speculation. If the solver fails to evaluate
1566
; the constraint scenario (due to time/memory limits) then the solver will
1567
; re-evaluate the constraint scenario with speculation. If SolveSpeculateFirst
1568
; is set to 1, the solver will skip the initial non-speculative attempt to
1569
; evaluate the constraint scenario. (Only applies when SolveSpeculateLevel is
1570
; non-zero)
1571
; The default value is 0.
1572
; SolveSpeculateFirst = 0
1573
 
1574
; Specify the maximum bit width of a variable in a conditional expression that
1575
; may be considered as the basis for "conditional" speculation. (Only applies
1576
; when SolveSpeculateLevel=2)
1577
; The default value is 6.
1578
; SolveSpeculateMaxCondWidth = 6
1579
 
1580
; Specify the maximum number of attempts to solve a speculative set of random
1581
; variables and constraints. Exceeding this limit will cause the solver to
1582
; abandon the current speculative set. (Only applies when SolveSpeculateLevel
1583
; is non-zero)
1584
; The default value is 100.
1585
; SolveSpeculateMaxIterations = 100
1586
 
1587
; Specifies whether to attempt speculation on solve-before constraints or
1588
; distribution constraints first. A value of 0 specifies that solve-before
1589
; constraints are attempted first as the basis for speculative randomization.
1590
; A value of 1 specifies that distribution constraints are attempted first
1591
; as the basis for speculative randomization.
1592
; The default value is 0.
1593
; SolveSpeculateDistFirst = 0
1594
 
1595
; If the non-speculative BDD solver fails to evaluate a constraint scenario
1596
; (due to time/memory limits) then the solver can be instructed to automatically
1597
; re-evaluate the constraint scenario with the ACT solver engine. Set
1598
; SolveACTbeforeSpeculate to 1 to enable this feature.
1599
; The default value is 0 (do not re-evaluate with the ACT solver).
1600
; SolveACTbeforeSpeculate = 0
1601
 
1602
; Use SolveFlags to specify options that will guide the behavior of the
1603
; constraint solver. These options may improve the performance of the
1604
; constraint solver for some testcases, and decrease the performance of the
1605
; constraint solver for others.
1606
; Valid flags are:
1607
;    i = disable bit interleaving for >, >=, <, <= constraints (BDD engine)
1608
;    n = disable bit interleaving for all constraints (BDD engine)
1609
;    r = reverse bit interleaving (BDD engine)
1610
; The default value is "" (no options).
1611
; SolveFlags =
1612
 
1613
; Specify random sequence compatiblity with a prior letter release. This
1614
; option is used to get the same random sequences during simulation as
1615
; as a prior letter release. Only prior letter releases (of the current
1616
; number release) are allowed.
1617
; NOTE: Only those random sequence changes due to solver optimizations are
1618
; reverted by this variable. Random sequence changes due to solver bugfixes
1619
; cannot be un-done.
1620
; NOTE: This variable can be overridden with the vsim "-solverev" command
1621
; line switch.
1622
; Default value set to "" (no compatibility).
1623
; SolveRev =
1624
 
1625
; Environment variable expansion of command line arguments has been depricated
1626
; in favor shell level expansion.  Universal environment variable expansion
1627
; inside -f files is support and continued support for MGC Location Maps provide
1628
; alternative methods for handling flexible pathnames.
1629
; The following line may be uncommented and the value set to 1 to re-enable this
1630
; deprecated behavior.  The default value is 0.
1631
; DeprecatedEnvironmentVariableExpansion = 0
1632
 
1633
; Turn on/off collapsing of bus ports in VCD dumpports output
1634
DumpportsCollapse = 1
1635
 
1636
; Location of Multi-Level Verification Component (MVC) installation.
1637
; The default location is the product installation directory.
1638
MvcHome = $MODEL_TECH/..
1639
 
1640
; Initialize SystemVerilog enums using the base type's default value
1641
; instead of the leftmost value.
1642
; EnumBaseInit = 1
1643
 
1644
; Suppress file type registration.
1645
; SuppressFileTypeReg = 1
1646
 
1647
; Controls SystemVerilog Language Extensions.  These options enable
1648
; some non-LRM compliant behavior.  Valid extensions are "feci",
1649
; "pae", "uslt" and "spsl".
1650
; SVExtensions = uslt,spsl
1651
 
1652
[lmc]
1653
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1654
libsm = $MODEL_TECH/libsm.sl
1655
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1656
; libsm = $MODEL_TECH/libsm.dll
1657
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1658
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1659
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1660
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1661
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1662
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1663
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1664
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1665
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1666
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1667
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1668
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1669
 
1670
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1671
libhm = $MODEL_TECH/libhm.sl
1672
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1673
; libhm = $MODEL_TECH/libhm.dll
1674
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1675
; libsfi = /lib/hp700/libsfi.sl
1676
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1677
; libsfi = /lib/rs6000/libsfi.a
1678
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1679
; libsfi = /lib/sun4.solaris/libsfi.so
1680
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1681
; libsfi = /lib/pcnt/lm_sfi.dll
1682
;  Logic Modeling's hardware modeler SFI software (Linux)
1683
; libsfi = /lib/linux/libsfi.so
1684
 
1685
[msg_system]
1686
; Change a message severity or suppress a message.
1687
; The format is:  = [,...]
1688
; suppress can be used to achieve +nowarn functionality
1689
; The format is: suppress = ,,[,,...]
1690
; Examples:
1691
suppress = 8780 ;an explanation can be had by running: verror 8780
1692
;   note = 3009
1693
;   warning = 3033
1694
;   error = 3010,3016
1695
;   fatal = 3016,3033
1696
;   suppress = 3009,3016,3043
1697
;   suppress = 3009,CNNODP,3043,TFMPC
1698
;   suppress = 8683,8684
1699
; The command verror  can be used to get the complete
1700
; description of a message.
1701
 
1702
; Control transcripting of Verilog display system task messages and
1703
; PLI/FLI print function call messages.  The system tasks include
1704
; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
1705
; also include the analogous file I/O tasks that write to STDOUT
1706
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1707
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1708
; is to have messages appear only in the transcript.  The other
1709
; settings are to send messages to the wlf file only (messages that
1710
; are recorded in the wlf file can be viewed in the MsgViewer) or
1711
; to both the transcript and the wlf file.  The valid values are
1712
;    tran  {transcript only (default)}
1713
;    wlf   {wlf file only}
1714
;    both  {transcript and wlf file}
1715
; displaymsgmode = tran
1716
 
1717
; Control transcripting of elaboration/runtime messages not
1718
; addressed by the displaymsgmode setting.  The default is to
1719
; have messages appear only in the transcript.  The other settings
1720
; are to send messages to the wlf file only (messages that are
1721
; recorded in the wlf file can be viewed in the MsgViewer) or to both
1722
; the transcript and the wlf file. The valid values are
1723
;    tran  {transcript only (default)}
1724
;    wlf   {wlf file only}
1725
;    both  {transcript and wlf file}
1726
; msgmode = tran

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.