OpenCores
URL https://opencores.org/ocsvn/system05/system05/trunk

Subversion Repositories system05

[/] [system05/] [trunk/] [rtl/] [vhdl/] [bsetrom.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dilbert57
--         FILE NAME: bsetrom.vhd
2
--       ENTITY NAME: bset_rom
3
-- ARCHITECTURE NAME: basic
4
--          REVISION: A
5
--
6
--       DESCRIPTION: 8 byte x 8 bit ROM 
7
--                    For bit set translations
8
--
9
-- Written by John Kent for the mc6805 processor
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
use ieee.std_logic_arith.all;
14
use ieee.std_logic_unsigned.all;
15
 
16
entity bset_rom is
17
  port (
18
    addr   : in   std_logic_vector(2 downto 0);
19
    data   : out  std_logic_vector(7 downto 0)
20
  );
21
end entity bset_rom;
22
 
23
architecture basic of bset_rom is
24
  constant width   : integer := 8;
25
  constant memsize : integer := 8;
26
 
27
  type bset_rom_array is array(0 to memsize-1) of std_logic_vector(width-1 downto 0);
28
 
29
  constant bset_rom_data : bset_rom_array :=
30
  ( "00000001",
31
    "00000010",
32
    "00000100",
33
    "00001000",
34
    "00010000",
35
    "00100000",
36
    "01000000",
37
    "10000000"
38
         );
39
begin
40
   data <= bset_rom_data(conv_integer(addr));
41
end architecture basic;
42
 
43
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.