OpenCores
URL https://opencores.org/ocsvn/systemc_rng/systemc_rng/trunk

Subversion Repositories systemc_rng

[/] [systemc_rng/] [trunk/] [bench/] [systemc/] [main.cpp] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jcastillo
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Random Number Main simulation File                          ////
4
////                                                              ////
5
////  This file is part of the SystemC RNG project                ////
6
////                                                              ////
7
////  Description:                                                ////
8
////  Main simulation file of random number generator             ////
9
////                                                              ////
10
////  To Do:                                                      ////
11
////   - nothing                                                  ////
12
////                                                              ////
13
////  Author(s):                                                  ////
14 11 jcastillo
////      - Javier Castillo, javier.castillo@urjc.es              ////
15 2 jcastillo
////                                                              ////
16 11 jcastillo
////  This core is provided by Universidad Rey Juan Carlos        ////
17
////  http://www.escet.urjc.es/~jmartine                          ////
18 2 jcastillo
////                                                              ////
19
//////////////////////////////////////////////////////////////////////
20
////                                                              ////
21
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
22
////                                                              ////
23
//// This source file may be used and distributed without         ////
24
//// restriction provided that this copyright statement is not    ////
25
//// removed from the file and that any derivative work contains  ////
26
//// the original copyright notice and the associated disclaimer. ////
27
////                                                              ////
28
//// This source file is free software; you can redistribute it   ////
29
//// and/or modify it under the terms of the GNU Lesser General   ////
30
//// Public License as published by the Free Software Foundation; ////
31
//// either version 2.1 of the License, or (at your option) any   ////
32
//// later version.                                               ////
33
////                                                              ////
34
//// This source is distributed in the hope that it will be       ////
35
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
36
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
37
//// PURPOSE.  See the GNU Lesser General Public License for more ////
38
//// details.                                                     ////
39
////                                                              ////
40
//// You should have received a copy of the GNU Lesser General    ////
41
//// Public License along with this source; if not, download it   ////
42
//// from http://www.opencores.org/lgpl.shtml                     ////
43
////                                                              ////
44
//////////////////////////////////////////////////////////////////////
45
//
46
// CVS Revision History
47
//
48
// $Log: not supported by cvs2svn $
49 11 jcastillo
// Revision 1.3  2004/08/30 17:02:57  jcastillo
50
// Used indent command
51
//
52 6 jcastillo
// Revision 1.3  2004/08/30 17:01:50  jcastillo
53
// Used indent command
54 5 jcastillo
//
55 6 jcastillo
// Revision 1.2  2004/08/25 15:32:23  jcastillo
56
// Corrected to run under MSVC60
57
//
58 5 jcastillo
// Revision 1.1.1.1  2004/08/19 14:27:14  jcastillo
59
// First import
60
//
61 2 jcastillo
 
62
#include "systemc.h"
63
#include "stimulus.h"
64
#include "rng.h"
65
 
66 5 jcastillo
#ifdef __GNUC__
67 6 jcastillo
#include "iostream.h"
68 5 jcastillo
#endif
69
 
70
 
71 6 jcastillo
int
72
sc_main (int argc, char *argv[])
73
{
74 2 jcastillo
 
75 6 jcastillo
  sc_clock clk ("clk", 1, SC_US);
76 2 jcastillo
 
77 6 jcastillo
  rng *rng1;
78
  stimulus *st1;
79
 
80
  rng1 = new rng ("rng");
81
  st1 = new stimulus ("stimulus");
82
 
83
  sc_signal < bool > reset;
84
  sc_signal < bool > loadseed_i;
85
  sc_signal < sc_uint < 32 > >seed_i;
86
  sc_signal < sc_uint < 32 > >number_o;
87
 
88
  rng1->clk (clk);
89
  rng1->reset (reset);
90
  rng1->loadseed_i (loadseed_i);
91
  rng1->seed_i (seed_i);
92
  rng1->number_o (number_o);
93
 
94
  st1->clk (clk);
95
  st1->reset (reset);
96
  st1->loadseed_o (loadseed_i);
97
  st1->seed_o (seed_i);
98
  st1->number_i (number_o);
99
 
100
  sc_start (-1);
101
 
102
  return 0;
103
 
104
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.