OpenCores
URL https://opencores.org/ocsvn/systemc_rng/systemc_rng/trunk

Subversion Repositories systemc_rng

[/] [systemc_rng/] [trunk/] [bench/] [systemc/] [main.cpp] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jcastillo
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Random Number Main simulation File                          ////
4
////                                                              ////
5
////  This file is part of the SystemC RNG project                ////
6
////                                                              ////
7
////  Description:                                                ////
8
////  Main simulation file of random number generator             ////
9
////                                                              ////
10
////  To Do:                                                      ////
11
////   - nothing                                                  ////
12
////                                                              ////
13
////  Author(s):                                                  ////
14
////      - Javier Castillo, jcastilo@opencores.org               ////
15
////                                                              ////
16
////  This core is provided by OpenSoc                            ////
17
////  http://www.opensocdesign.com                                ////
18
////                                                              ////
19
//////////////////////////////////////////////////////////////////////
20
////                                                              ////
21
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
22
////                                                              ////
23
//// This source file may be used and distributed without         ////
24
//// restriction provided that this copyright statement is not    ////
25
//// removed from the file and that any derivative work contains  ////
26
//// the original copyright notice and the associated disclaimer. ////
27
////                                                              ////
28
//// This source file is free software; you can redistribute it   ////
29
//// and/or modify it under the terms of the GNU Lesser General   ////
30
//// Public License as published by the Free Software Foundation; ////
31
//// either version 2.1 of the License, or (at your option) any   ////
32
//// later version.                                               ////
33
////                                                              ////
34
//// This source is distributed in the hope that it will be       ////
35
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
36
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
37
//// PURPOSE.  See the GNU Lesser General Public License for more ////
38
//// details.                                                     ////
39
////                                                              ////
40
//// You should have received a copy of the GNU Lesser General    ////
41
//// Public License along with this source; if not, download it   ////
42
//// from http://www.opencores.org/lgpl.shtml                     ////
43
////                                                              ////
44
//////////////////////////////////////////////////////////////////////
45
//
46
// CVS Revision History
47
//
48
// $Log: not supported by cvs2svn $
49 6 jcastillo
// Revision 1.3  2004/08/30 17:01:50  jcastillo
50
// Used indent command
51 5 jcastillo
//
52 6 jcastillo
// Revision 1.2  2004/08/25 15:32:23  jcastillo
53
// Corrected to run under MSVC60
54
//
55 5 jcastillo
// Revision 1.1.1.1  2004/08/19 14:27:14  jcastillo
56
// First import
57
//
58 2 jcastillo
 
59
#include "systemc.h"
60
#include "stimulus.h"
61
#include "rng.h"
62
 
63 5 jcastillo
#ifdef __GNUC__
64 6 jcastillo
#include "iostream.h"
65 5 jcastillo
#endif
66
 
67
 
68 6 jcastillo
int
69
sc_main (int argc, char *argv[])
70
{
71 2 jcastillo
 
72 6 jcastillo
  sc_clock clk ("clk", 1, SC_US);
73 2 jcastillo
 
74 6 jcastillo
  rng *rng1;
75
  stimulus *st1;
76
 
77
  rng1 = new rng ("rng");
78
  st1 = new stimulus ("stimulus");
79
 
80
  sc_signal < bool > reset;
81
  sc_signal < bool > loadseed_i;
82
  sc_signal < sc_uint < 32 > >seed_i;
83
  sc_signal < sc_uint < 32 > >number_o;
84
 
85
  rng1->clk (clk);
86
  rng1->reset (reset);
87
  rng1->loadseed_i (loadseed_i);
88
  rng1->seed_i (seed_i);
89
  rng1->number_o (number_o);
90
 
91
  st1->clk (clk);
92
  st1->reset (reset);
93
  st1->loadseed_o (loadseed_i);
94
  st1->seed_o (seed_i);
95
  st1->number_i (number_o);
96
 
97
  sc_start (-1);
98
 
99
  return 0;
100
 
101
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.