OpenCores
URL https://opencores.org/ocsvn/systemc_rng/systemc_rng/trunk

Subversion Repositories systemc_rng

[/] [systemc_rng/] [trunk/] [bench/] [systemc/] [stimulus.h] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jcastillo
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Random Number Generator Testbench Header                    ////
4
////                                                              ////
5
////  This file is part of the SystemC RNG project                ////
6
////                                                              ////
7
////  Description:                                                ////
8
////  Testbench header                                            ////
9
////                                                              ////
10
////  To Do:                                                      ////
11
////   - nothing                                                  ////
12
////                                                              ////
13
////  Author(s):                                                  ////
14 11 jcastillo
////      - Javier Castillo, javier.castillo@urjc.es              ////
15 2 jcastillo
////                                                              ////
16 11 jcastillo
////  This core is provided by Universidad Rey Juan Carlos        ////
17
////  http://www.escet.urjc.es/~jmartine                          ////
18 2 jcastillo
////                                                              ////
19
//////////////////////////////////////////////////////////////////////
20
////                                                              ////
21
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
22
////                                                              ////
23
//// This source file may be used and distributed without         ////
24
//// restriction provided that this copyright statement is not    ////
25
//// removed from the file and that any derivative work contains  ////
26
//// the original copyright notice and the associated disclaimer. ////
27
////                                                              ////
28
//// This source file is free software; you can redistribute it   ////
29
//// and/or modify it under the terms of the GNU Lesser General   ////
30
//// Public License as published by the Free Software Foundation; ////
31
//// either version 2.1 of the License, or (at your option) any   ////
32
//// later version.                                               ////
33
////                                                              ////
34
//// This source is distributed in the hope that it will be       ////
35
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
36
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
37
//// PURPOSE.  See the GNU Lesser General Public License for more ////
38
//// details.                                                     ////
39
////                                                              ////
40
//// You should have received a copy of the GNU Lesser General    ////
41
//// Public License along with this source; if not, download it   ////
42
//// from http://www.opencores.org/lgpl.shtml                     ////
43
////                                                              ////
44
//////////////////////////////////////////////////////////////////////
45
//
46
// CVS Revision History
47
//
48
// $Log: not supported by cvs2svn $
49 11 jcastillo
// Revision 1.2  2004/08/30 17:02:57  jcastillo
50
// Used indent command
51
//
52 6 jcastillo
// Revision 1.2  2004/08/30 17:01:50  jcastillo
53
// Used indent command
54
//
55
// Revision 1.1.1.1  2004/08/19 14:27:14  jcastillo
56
// First import
57
//
58 2 jcastillo
 
59
#include "systemc.h"
60
 
61 6 jcastillo
SC_MODULE (stimulus)
62
{
63 2 jcastillo
 
64 6 jcastillo
  sc_in < bool > clk;
65
  sc_out < bool > reset;
66
 
67
  sc_out < bool > loadseed_o;
68
  sc_out < sc_uint < 32 > >seed_o;
69
  sc_in < sc_uint < 32 > >number_i;
70
 
71
  void tb ();
72
 
73
  SC_CTOR (stimulus)
74
  {
75
 
76
    cout.unsetf (ios::dec);
77
    cout.setf (ios::hex);
78
    cout.setf (ios::showbase);
79
 
80
    SC_THREAD (tb);
81
 
82
  }
83 2 jcastillo
};

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.