OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [tags/] [rel_0_1_beta/] [bench/] [vhdl/] [tb_int.vhd] - Blame information for rev 91

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 arniml
-------------------------------------------------------------------------------
2
--
3
-- Testbench for interrupt evaluation.
4
--
5 91 arniml
-- $Id: tb_int.vhd,v 1.3 2006-05-28 15:36:59 arniml Exp $
6 63 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
entity tb_int is
47
 
48
end tb_int;
49
 
50
 
51
library ieee;
52
use ieee.std_logic_1164.all;
53
use ieee.numeric_std.all;
54
 
55
use work.t400_system_comp_pack.t420;
56
use work.tb_pack.all;
57
use work.t400_opt_pack.all;
58
 
59
architecture behav of tb_int is
60
 
61
  -- 210.4 kHz clock
62
  constant period_c : time := 4.75 us;
63
  signal   ck_s     : std_logic;
64
  signal   en_ck_s  : std_logic;
65
 
66
  signal reset_n_s  : std_logic;
67
 
68
  signal io_l_s     : std_logic_vector(7 downto 0);
69
  signal io_d_s     : std_logic_vector(3 downto 0);
70
  signal io_g_s     : std_logic_vector(3 downto 0);
71
  signal io_in_s    : std_logic_vector(3 downto 0);
72
 
73
  signal si_s,
74
         so_s,
75
         sk_s       : std_logic;
76
 
77
  signal vdd_8_s    : std_logic_vector(7 downto 0);
78
 
79
begin
80
 
81
 
82
  vdd_8_s   <= (others => '1');
83
  reset_n_s <= '1';
84
 
85
  -----------------------------------------------------------------------------
86
  -- DUT
87
  -----------------------------------------------------------------------------
88
  t420_b : t420
89
    generic map (
90
      opt_ck_div_g => t400_opt_ck_div_4_c
91
    )
92
    port map (
93
      ck_i      => ck_s,
94
      ck_en_i   => vdd_8_s(0),
95
      reset_n_i => reset_n_s,
96
      cko_i     => io_in_s(2),
97
      si_i      => si_s,
98
      so_o      => so_s,
99
      sk_o      => sk_s,
100
      io_l_b    => io_l_s,
101
      io_d_o    => io_d_s,
102
      io_g_b    => io_g_s,
103
      io_in_i   => io_in_s
104
    );
105
 
106
  io_l_s  <= (others => 'H');
107
  io_d_s  <= (others => 'H');
108
  io_g_s  <= (others => 'H');
109
  io_in_s <= (others => 'H');
110
 
111
 
112
  -----------------------------------------------------------------------------
113
  -- Testbench elements
114
  -----------------------------------------------------------------------------
115
  tb_elems_b : tb_elems
116
    generic map (
117
      period_g  => period_c,
118
      d_width_g => 4,
119
      g_width_g => 4
120
    )
121
    port map (
122
      io_l_i  => vdd_8_s,
123
      io_d_i  => io_d_s,
124 78 arniml
      io_g_i  => vdd_8_s(3 downto 0),
125 63 arniml
      io_in_o => open,
126
      so_i    => so_s,
127
      si_o    => si_s,
128
      sk_i    => sk_s,
129
      ck_o    => ck_s
130
    );
131
 
132
 
133
  -----------------------------------------------------------------------------
134
  -- Process int
135
  --
136
  -- Purpose:
137
  --   Generates interrupts based on current PC.
138
  --
139
  int: process
140
    variable int_v : std_logic;
141
  begin
142
    loop
143
      wait until tb_pc_s'event;
144
      -- see if we need to generate an interrupt
145
      case to_integer(tb_pc_s) is
146
        when 16#030# =>
147
          int_v := '0';
148
        when 16#0b0# =>
149
          int_v := '0';
150
        when 16#1b0# =>
151
          int_v := '0';
152
        when 16#230# =>
153
          int_v := '0';
154
        when 16#2b0# =>
155
          int_v := '0';
156
        when 16#330# =>
157
          int_v := '0';
158
        when 16#3b0# =>
159
          int_v := '0';
160
        when others =>
161
          int_v := 'H';
162
      end case;
163
 
164
      io_in_s(1) <= int_v;
165
 
166
      if int_v = '0' then
167
        -- wait for appropriate interrupt active time
168
        wait for period_c * 4 * 3;        -- three instruction cycles
169
        io_in_s(1) <= 'H';
170
      end if;
171
    end loop;
172
  end process int;
173
  --
174
  -----------------------------------------------------------------------------
175
 
176 78 arniml
 
177
  -----------------------------------------------------------------------------
178
  -- MUX the nibbles of SA to L
179
  -----------------------------------------------------------------------------
180
  io_l_s(3 downto 0) <=   std_logic_vector(tb_sa_s(3 downto 0))
181
                        when io_g_s(1 downto 0) = "00" else
182
                          std_logic_vector(tb_sa_s(7 downto 4))
183
                        when io_g_s(1 downto 0) = "01" else
184
                          '0' & '0' & std_logic_vector(tb_sa_s(9 downto 8))
185
                        when io_g_s(1 downto 0) = "10" else
186
                          (others => 'H');
187
 
188 63 arniml
end behav;
189
 
190
 
191
-------------------------------------------------------------------------------
192
-- File History:
193
--
194
-- $Log: not supported by cvs2svn $
195 91 arniml
-- Revision 1.2  2006/05/28 02:53:47  arniml
196
-- provide SA at L port
197
--
198 78 arniml
-- Revision 1.1  2006/05/27 19:08:21  arniml
199
-- initial check-in
200
--
201 63 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.