OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [tags/] [rel_0_1_beta/] [rtl/] [vhdl/] [t400_opc_table.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3
-- The opcode decoder table.
4
-- Maps the binary opcodes to the mnemonic type.
5
--
6
-- $Id: t400_opc_table.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $
7
--
8
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
9
--
10
-- All rights reserved
11
--
12
-- Redistribution and use in source and synthezised forms, with or without
13
-- modification, are permitted provided that the following conditions are met:
14
--
15
-- Redistributions of source code must retain the above copyright notice,
16
-- this list of conditions and the following disclaimer.
17
--
18
-- Redistributions in synthesized form must reproduce the above copyright
19
-- notice, this list of conditions and the following disclaimer in the
20
-- documentation and/or other materials provided with the distribution.
21
--
22
-- Neither the name of the author nor the names of other contributors may
23
-- be used to endorse or promote products derived from this software without
24
-- specific prior written permission.
25
--
26
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
27
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
28
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
29
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
30
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
31
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
32
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
33
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
34
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
35
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
36
-- POSSIBILITY OF SUCH DAMAGE.
37
--
38
-- Please report bugs to the author, but before you do so, please
39
-- make sure that this is not a derivative work and that
40
-- you have the latest version of this file.
41
--
42
-- The latest version of this file can be found at:
43
--      http://www.opencores.org/cvsweb.shtml/t400/
44
--
45
-------------------------------------------------------------------------------
46
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
 
50
use work.t400_opt_pack.all;
51
use work.t400_pack.all;
52
 
53
entity t400_opc_table is
54
 
55
  generic (
56
    opt_type_g   : integer := t400_opt_type_420_c
57
  );
58
  port (
59
    opcode_i     : in  byte_t;
60
    mnemonic_o   : out mnemonic_t;
61
    multi_byte_o : out boolean
62
  );
63
 
64
end t400_opc_table;
65
 
66
 
67
architecture rtl of t400_opc_table is
68
 
69
begin
70
 
71
  -----------------------------------------------------------------------------
72
  -- Process opc_decode
73
  --
74
  -- Purpose:
75
  --   Decodes the opcode to the set of mnemonics.
76
  --
77
  opc_decode: process (opcode_i)
78
    variable t41x_type_v : boolean;
79
  begin
80
    -- default assignment
81
    mnemonic_o   <= MN_NOP;
82
    multi_byte_o <= false;
83
    -- determine type
84
    t41x_type_v  := opt_type_g = t400_opt_type_410_c;
85
 
86
    case opcode_i is
87
      -- Mnemonic ASC----------------------------------------------------------
88
      when "00110000" =>
89
        mnemonic_o   <= MN_ASC;
90
 
91
      -- Mnemonic ADD ---------------------------------------------------------
92
      when "00110001" =>
93
        mnemonic_o   <= MN_ADD;
94
 
95
      -- Mnemonic ADT ---------------------------------------------------------
96
      when "01001010" =>
97
        if not t41x_type_v then
98
          mnemonic_o <= MN_ADT;
99
        end if;
100
 
101
      -- Mnemonic AISC --------------------------------------------------------
102
      when "01010001" | "01010010" | "01010011" |
103
           "01010100" | "01010101" | "01010110" | "01010111" |
104
           "01011000" | "01011001" | "01011010" | "01011011" |
105
           "01011100" | "01011101" | "01011110" | "01011111" =>
106
        mnemonic_o   <= MN_AISC;
107
 
108
      -- Mnemonic CASC --------------------------------------------------------
109
      when "00010000" =>
110
        if not t41x_type_v then
111
          mnemonic_o <= MN_CASC;
112
        end if;
113
 
114
      -- Mnemonic CLRA --------------------------------------------------------
115
      when "00000000" =>
116
        mnemonic_o   <= MN_CLRA;
117
 
118
      -- Mnemonic COMP --------------------------------------------------------
119
      when "01000000" =>
120
        mnemonic_o   <= MN_COMP;
121
 
122
      -- Mnemonic NOP ---------------------------------------------------------
123
      when "01000100" =>
124
        mnemonic_o   <= MN_NOP;
125
 
126
      -- Mnemonic C -----------------------------------------------------------
127
      when "00110010" |                                         -- RC
128
           "00100010" =>                                        -- SC
129
        mnemonic_o   <= MN_C;
130
 
131
      -- Mnemonic XOR ---------------------------------------------------------
132
      when "00000010" =>
133
        mnemonic_o   <= MN_XOR;
134
 
135
      -- Mnemonic JID ---------------------------------------------------------
136
      when "11111111" =>
137
        mnemonic_o   <= MN_JID;
138
 
139
      -- Mnemonic JMP ---------------------------------------------------------
140
      when "01100000" | "01100001" | "01100010" | "01100011" =>
141
        mnemonic_o   <= MN_JMP;
142
        multi_byte_o <= true;
143
 
144
      -- Mnemonic JP_JSRP -----------------------------------------------------
145
      when "10000000" | "10000001" | "10000010" | "10000011" |
146
           "10000100" | "10000101" | "10000110" | "10000111" |
147
           "10001000" | "10001001" | "10001010" | "10001011" |
148
           "10001100" | "10001101" | "10001110" | "10001111" |
149
           "10010000" | "10010001" | "10010010" | "10010011" |
150
           "10010100" | "10010101" | "10010110" | "10010111" |
151
           "10011000" | "10011001" | "10011010" | "10011011" |
152
           "10011100" | "10011101" | "10011110" | "10011111" |
153
           "10100000" | "10100001" | "10100010" | "10100011" |
154
           "10100100" | "10100101" | "10100110" | "10100111" |
155
           "10101000" | "10101001" | "10101010" | "10101011" |
156
           "10101100" | "10101101" | "10101110" | "10101111" |
157
           "10110000" | "10110001" | "10110010" | "10110011" |
158
           "10110100" | "10110101" | "10110110" | "10110111" |
159
           "10111000" | "10111001" | "10111010" | "10111011" |
160
           "10111100" | "10111101" | "10111110" |
161
           "11000000" | "11000001" | "11000010" | "11000011" |
162
           "11000100" | "11000101" | "11000110" | "11000111" |
163
           "11001000" | "11001001" | "11001010" | "11001011" |
164
           "11001100" | "11001101" | "11001110" | "11001111" |
165
           "11010000" | "11010001" | "11010010" | "11010011" |
166
           "11010100" | "11010101" | "11010110" | "11010111" |
167
           "11011000" | "11011001" | "11011010" | "11011011" |
168
           "11011100" | "11011101" | "11011110" | "11011111" |
169
           "11100000" | "11100001" | "11100010" | "11100011" |
170
           "11100100" | "11100101" | "11100110" | "11100111" |
171
           "11101000" | "11101001" | "11101010" | "11101011" |
172
           "11101100" | "11101101" | "11101110" | "11101111" |
173
           "11110000" | "11110001" | "11110010" | "11110011" |
174
           "11110100" | "11110101" | "11110110" | "11110111" |
175
           "11111000" | "11111001" | "11111010" | "11111011" |
176
           "11111100" | "11111101" | "11111110" =>
177
        mnemonic_o   <= MN_JP_JSRP;
178
 
179
      -- Mnemonic JSR ---------------------------------------------------------
180
      when "01101000" | "01101001" | "01101010" | "01101011" =>
181
        mnemonic_o   <= MN_JSR;
182
        multi_byte_o <= true;
183
 
184
      -- Mnemonic RET ---------------------------------------------------------
185
      when "01001000" =>
186
        mnemonic_o   <= MN_RET;
187
 
188
      -- Mnemonic RETSK -------------------------------------------------------
189
      when "01001001" =>
190
        mnemonic_o   <= MN_RETSK;
191
 
192
      -- Mnemonic LD ----------------------------------------------------------
193
      when "00000101" | "00010101" | "00100101" | "00110101" =>
194
        mnemonic_o   <= MN_LD;
195
 
196
      -- Mnemonic LDD_XAD -----------------------------------------------------
197
      when "00100011" =>
198
        mnemonic_o   <= MN_LDD_XAD;
199
        multi_byte_o <= true;
200
 
201
      -- Mnemonic LQID --------------------------------------------------------
202
      when "10111111" =>
203
        mnemonic_o   <= MN_LQID;
204
 
205
      -- Mnemonic RMB ---------------------------------------------------------
206
      when "01001100" | "01000101" | "01000010" | "01000011" =>
207
        mnemonic_o   <= MN_RMB;
208
 
209
      -- Mnemonic SMB ---------------------------------------------------------
210
      when "01001101" | "01000111" | "01000110" | "01001011" =>
211
        mnemonic_o   <= MN_SMB;
212
 
213
      -- Mnemonic STII --------------------------------------------------------
214
      when "01110000" | "01110001" | "01110010" | "01110011" |
215
           "01110100" | "01110101" | "01110110" | "01110111" |
216
           "01111000" | "01111001" | "01111010" | "01111011" |
217
           "01111100" | "01111101" | "01111110" | "01111111" =>
218
        mnemonic_o   <= MN_STII;
219
 
220
      -- Mnemonic X -----------------------------------------------------------
221
      when "00000110" | "00010110" | "00100110" | "00110110" =>
222
        mnemonic_o   <= MN_X;
223
 
224
      -- Mnemonic XDS ---------------------------------------------------------
225
      when "00000111" | "00010111" | "00100111" | "00110111" =>
226
        mnemonic_o   <= MN_XDS;
227
 
228
      -- Mnemonic XIS ---------------------------------------------------------
229
      when "00000100" | "00010100" | "00100100" | "00110100" =>
230
        mnemonic_o   <= MN_XIS;
231
 
232
      -- Mnemonic CAB ---------------------------------------------------------
233
      when "01010000" =>
234
        mnemonic_o   <= MN_CAB;
235
 
236
      -- Mnemonic CBA ---------------------------------------------------------
237
      when "01001110" =>
238
        mnemonic_o   <= MN_CBA;
239
 
240
      -- Mnemonic LBI ---------------------------------------------------------
241
      when "00001000" | "00001001" | "00001010" | "00001011" |
242
           "00001100" | "00001101" | "00001110" | "00001111" |
243
           "00011000" | "00011001" | "00011010" | "00011011" |
244
           "00011100" | "00011101" | "00011110" | "00011111" |
245
           "00101000" | "00101001" | "00101010" | "00101011" |
246
           "00101100" | "00101101" | "00101110" | "00101111" |
247
           "00111000" | "00111001" | "00111010" | "00111011" |
248
           "00111100" | "00111101" | "00111110" | "00111111" =>
249
        mnemonic_o   <= MN_LBI;
250
 
251
      -- Mnemonic XABR --------------------------------------------------------
252
      when "00010010" =>
253
        if not t41x_type_v then
254
          mnemonic_o <= MN_XABR;
255
        end if;
256
 
257
      -- Mnemonic SKC ---------------------------------------------------------
258
      when "00100000" =>
259
        mnemonic_o   <= MN_SKC;
260
 
261
      -- Mnemonic SKE ---------------------------------------------------------
262
      when "00100001" =>
263
        mnemonic_o   <= MN_SKE;
264
 
265
      -- Mnemonic SKMBZ -------------------------------------------------------
266
      when "00000001" | "00010001" | "00000011" | "00010011" =>
267
        mnemonic_o   <= MN_SKMBZ;
268
 
269
      -- Mnemonic SKT ---------------------------------------------------------
270
      when "01000001" =>
271
        if not t41x_type_v then
272
          mnemonic_o <= MN_SKT;
273
        end if;
274
 
275
      -- Mnemonic XAS ---------------------------------------------------------
276
      when "01001111" =>
277
        mnemonic_o   <= MN_XAS;
278
 
279
      -- Mnemonic EXT ---------------------------------------------------------
280
      when "00110011" =>
281
        mnemonic_o   <= MN_EXT;
282
        multi_byte_o <= true;
283
 
284
 
285
      when others =>
286
        null;
287
    end case;
288
  end process opc_decode;
289
  --
290
  -----------------------------------------------------------------------------
291
 
292
end rtl;
293
 
294
 
295
-------------------------------------------------------------------------------
296
-- File History:
297
--
298
-- $Log: not supported by cvs2svn $
299
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.