OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_pack-p.vhd] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 arniml
-------------------------------------------------------------------------------
2
--
3
-- $Id: tb_pack-p.vhd,v 1.1 2006-05-15 21:55:27 arniml Exp $
4
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
package tb_pack is
15
 
16
  component tb_elems
17
    generic (
18
      period_g  : time := 4.75 us;
19
      d_width_g : integer := 4;
20
      g_width_g : integer := 4
21
    );
22
    port (
23
      io_l_i : in  std_logic_vector(7 downto 0);
24
      io_d_i : in  std_logic_vector(d_width_g-1 downto 0);
25
      io_g_i : in  std_logic_vector(g_width_g-1 downto 0);
26
      so_i   : in  std_logic;
27
      si_o   : out std_logic;
28
      sk_i   : in  std_logic;
29
      ck_o   : out std_logic
30
    );
31
  end component;
32
 
33
end tb_pack;
34
 
35
 
36
-------------------------------------------------------------------------------
37
-- File History:
38
--
39
-- $Log: not supported by cvs2svn $
40
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.