OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_pack-p.vhd] - Blame information for rev 56

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 arniml
-------------------------------------------------------------------------------
2
--
3 56 arniml
-- $Id: tb_pack-p.vhd,v 1.2 2006-05-23 01:17:25 arniml Exp $
4 18 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
package tb_pack is
15
 
16
  component tb_elems
17
    generic (
18
      period_g  : time := 4.75 us;
19
      d_width_g : integer := 4;
20
      g_width_g : integer := 4
21
    );
22
    port (
23 56 arniml
      io_l_i  : in  std_logic_vector(7 downto 0);
24
      io_d_i  : in  std_logic_vector(d_width_g-1 downto 0);
25
      io_g_i  : in  std_logic_vector(g_width_g-1 downto 0);
26
      io_in_o : out std_logic_vector(g_width_g-1 downto 0);
27
      so_i    : in  std_logic;
28
      si_o    : out std_logic;
29
      sk_i    : in  std_logic;
30
      ck_o    : out std_logic
31 18 arniml
    );
32
  end component;
33
 
34
end tb_pack;
35
 
36
 
37
-------------------------------------------------------------------------------
38
-- File History:
39
--
40
-- $Log: not supported by cvs2svn $
41 56 arniml
-- Revision 1.1  2006/05/15 21:55:27  arniml
42
-- initial check-in
43
--
44 18 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.