OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_t411.vhd] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3
-- Testbench for the T411 system toplevel.
4
--
5 19 arniml
-- $Id: tb_t411.vhd,v 1.3 2006-05-15 21:56:02 arniml Exp $
6 2 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
entity tb_t411 is
47
 
48
end tb_t411;
49
 
50
 
51
library ieee;
52
use ieee.std_logic_1164.all;
53
 
54
use work.t400_system_comp_pack.t411;
55 19 arniml
use work.tb_pack.tb_elems;
56 2 arniml
 
57
architecture behav of tb_t411 is
58
 
59
  -- 210.4 kHz clock
60
  --  -> 52.6 kHz internal clock
61
  constant period_c : time := 4.75 us;
62
  signal   ck_s     : std_logic;
63
  signal   en_ck_s  : std_logic;
64
 
65
  signal reset_n_s  : std_logic;
66
 
67
  signal io_l_s     : std_logic_vector(7 downto 0);
68
  signal io_d_s     : std_logic_vector(1 downto 0);
69
  signal io_g_s     : std_logic_vector(2 downto 0);
70
 
71
  signal si_s,
72
         so_s,
73
         sk_s       : std_logic;
74
 
75
  signal vdd_s      : std_logic;
76
 
77
begin
78
 
79
 
80
  vdd_s     <= '1';
81
  reset_n_s <= '1';
82
 
83
  -----------------------------------------------------------------------------
84
  -- DUT
85
  -----------------------------------------------------------------------------
86
  t411_b : t411
87
    port map (
88
      ck_i      => ck_s,
89
      ck_en_i   => vdd_s,
90
      reset_n_i => reset_n_s,
91
      si_i      => si_s,
92
      so_o      => so_s,
93
      sk_o      => sk_s,
94
      io_l_b    => io_l_s,
95
      io_d_o    => io_d_s,
96
      io_g_b    => io_g_s
97
    );
98
 
99
  io_l_s <= (others => 'H');
100
  io_d_s <= (others => 'H');
101
  io_g_s <= (others => 'H');
102
 
103
 
104
  -----------------------------------------------------------------------------
105 19 arniml
  -- Testbench elements
106 2 arniml
  -----------------------------------------------------------------------------
107 19 arniml
  tb_elems_b : tb_elems
108
    generic map (
109
      period_g  => period_c,
110
      d_width_g => 2,
111
      g_width_g => 3
112
    )
113
    port map (
114
      io_l_i => io_l_s,
115
      io_d_i => io_d_s,
116
      io_g_i => io_g_s,
117
      so_i   => so_s,
118
      si_o   => si_s,
119
      sk_i   => sk_s,
120
      ck_o   => ck_s
121
    );
122 2 arniml
 
123
end behav;
124
 
125
 
126
-------------------------------------------------------------------------------
127
-- File History:
128
--
129
-- $Log: not supported by cvs2svn $
130 19 arniml
-- Revision 1.2  2006/05/06 13:34:25  arniml
131
-- remove delta cycle filter on sk_s
132
--
133 7 arniml
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
134
-- import from local CVS repository, LOC_CVS_0_1
135
--
136 2 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.