OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_t420.vhd] - Blame information for rev 20

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 arniml
-------------------------------------------------------------------------------
2
--
3
-- Testbench for the T420 system toplevel.
4
--
5
-- $Id: tb_t420.vhd,v 1.1 2006-05-15 22:21:59 arniml Exp $
6
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
entity tb_t420 is
47
 
48
end tb_t420;
49
 
50
 
51
library ieee;
52
use ieee.std_logic_1164.all;
53
 
54
use work.t400_system_comp_pack.t420;
55
use work.tb_pack.tb_elems;
56
 
57
architecture behav of tb_t420 is
58
 
59
  -- 210.4 kHz clock
60
  --  -> 52.6 kHz internal clock
61
  constant period_c : time := 4.75 us;
62
  signal   ck_s     : std_logic;
63
  signal   en_ck_s  : std_logic;
64
 
65
  signal reset_n_s  : std_logic;
66
 
67
  signal io_l_s     : std_logic_vector(7 downto 0);
68
  signal io_d_s     : std_logic_vector(3 downto 0);
69
  signal io_g_s     : std_logic_vector(3 downto 0);
70
  signal io_in_s    : std_logic_vector(3 downto 0);
71
 
72
  signal si_s,
73
         so_s,
74
         sk_s       : std_logic;
75
 
76
  signal vdd_s      : std_logic;
77
 
78
begin
79
 
80
 
81
  vdd_s     <= '1';
82
  reset_n_s <= '1';
83
 
84
  -----------------------------------------------------------------------------
85
  -- DUT
86
  -----------------------------------------------------------------------------
87
  t420_b : t420
88
    port map (
89
      ck_i      => ck_s,
90
      ck_en_i   => vdd_s,
91
      reset_n_i => reset_n_s,
92
      si_i      => si_s,
93
      so_o      => so_s,
94
      sk_o      => sk_s,
95
      io_l_b    => io_l_s,
96
      io_d_o    => io_d_s,
97
      io_g_b    => io_g_s,
98
      io_in_i   => io_in_s
99
    );
100
 
101
  io_l_s  <= (others => 'H');
102
  io_d_s  <= (others => 'H');
103
  io_g_s  <= (others => 'H');
104
  io_in_s <= (others => 'H');
105
 
106
 
107
  -----------------------------------------------------------------------------
108
  -- Testbench elements
109
  -----------------------------------------------------------------------------
110
  tb_elems_b : tb_elems
111
    generic map (
112
      period_g  => period_c,
113
      d_width_g => 4,
114
      g_width_g => 4
115
    )
116
    port map (
117
      io_l_i => io_l_s,
118
      io_d_i => io_d_s,
119
      io_g_i => io_g_s,
120
      so_i   => so_s,
121
      si_o   => si_s,
122
      sk_i   => sk_s,
123
      ck_o   => ck_s
124
    );
125
 
126
end behav;
127
 
128
 
129
-------------------------------------------------------------------------------
130
-- File History:
131
--
132
-- $Log: not supported by cvs2svn $
133
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.