OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t400_system_comp_pack-p.vhd] - Blame information for rev 136

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3 136 arniml
-- $Id: t400_system_comp_pack-p.vhd,v 1.5 2006-06-11 13:48:13 arniml Exp $
4 2 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t400_opt_pack.all;
15
 
16
package t400_system_comp_pack is
17
 
18
  component t410_notri
19
    generic (
20
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
21
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
22
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
23
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
24
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
25
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
26
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
27
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
28
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
29
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
30
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
31
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
32
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
33
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
34
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
35
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
36
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
37
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
38
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
39
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
40
    );
41
    port (
42
      ck_i      : in  std_logic;
43
      ck_en_i   : in  std_logic;
44
      reset_n_i : in  std_logic;
45
      cko_i     : in  std_logic;
46
      io_l_i    : in  std_logic_vector(7 downto 0);
47
      io_l_o    : out std_logic_vector(7 downto 0);
48
      io_l_en_o : out std_logic_vector(7 downto 0);
49
      io_d_o    : out std_logic_vector(3 downto 0);
50
      io_d_en_o : out std_logic_vector(3 downto 0);
51
      io_g_i    : in  std_logic_vector(3 downto 0);
52
      io_g_o    : out std_logic_vector(3 downto 0);
53
      io_g_en_o : out std_logic_vector(3 downto 0);
54
      si_i      : in  std_logic;
55
      so_o      : out std_logic;
56
      so_en_o   : out std_logic;
57
      sk_o      : out std_logic;
58
      sk_en_o   : out std_logic
59
    );
60
  end component;
61
 
62
  component t411
63
    generic (
64
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
65
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
66
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
67
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
68
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
69
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
70
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
71
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
72
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
73
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
74
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
75
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
76
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
77
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
78
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
79
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
80
    );
81
    port (
82
      ck_i      : in    std_logic;
83
      ck_en_i   : in    std_logic;
84
      reset_n_i : in    std_logic;
85
      si_i      : in    std_logic;
86
      so_o      : out   std_logic;
87
      sk_o      : out   std_logic;
88
      io_l_b    : inout std_logic_vector(7 downto 0);
89
      io_d_o    : out   std_logic_vector(1 downto 0);
90
      io_g_b    : inout std_logic_vector(2 downto 0)
91
    );
92
  end component;
93
 
94 14 arniml
  component t420_notri
95
    generic (
96 136 arniml
      opt_type_g           : integer := t400_opt_type_420_c;
97 14 arniml
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
98
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
99
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
100
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
101
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
102
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
103
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
104
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
105
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
106
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
107 107 arniml
      opt_microbus_g       : integer := t400_opt_no_microbus_c;
108 14 arniml
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
109
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
110
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
111
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
112
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
113
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
114
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
115
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
116
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
117
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
118
    );
119
    port (
120
      ck_i      : in  std_logic;
121
      ck_en_i   : in  std_logic;
122
      reset_n_i : in  std_logic;
123
      cko_i     : in  std_logic;
124
      io_l_i    : in  std_logic_vector(7 downto 0);
125
      io_l_o    : out std_logic_vector(7 downto 0);
126
      io_l_en_o : out std_logic_vector(7 downto 0);
127
      io_d_o    : out std_logic_vector(3 downto 0);
128
      io_d_en_o : out std_logic_vector(3 downto 0);
129
      io_g_i    : in  std_logic_vector(3 downto 0);
130
      io_g_o    : out std_logic_vector(3 downto 0);
131
      io_g_en_o : out std_logic_vector(3 downto 0);
132
      io_in_i   : in  std_logic_vector(3 downto 0);
133
      si_i      : in  std_logic;
134
      so_o      : out std_logic;
135
      so_en_o   : out std_logic;
136
      sk_o      : out std_logic;
137
      sk_en_o   : out std_logic
138
    );
139
  end component;
140
 
141
  component t420
142
    generic (
143
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
144 55 arniml
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
145 14 arniml
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
146
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
147
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
148
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
149
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
150
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
151
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
152
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
153 107 arniml
      opt_microbus_g       : integer := t400_opt_no_microbus_c;
154 136 arniml
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
155
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
156 14 arniml
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
157
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
158 136 arniml
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
159 14 arniml
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
160
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
161
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
162
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
163
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
164
    );
165
    port (
166
      ck_i      : in    std_logic;
167
      ck_en_i   : in    std_logic;
168
      reset_n_i : in    std_logic;
169 55 arniml
      cko_i     : in    std_logic;
170 14 arniml
      io_l_b    : inout std_logic_vector(7 downto 0);
171
      io_d_o    : out   std_logic_vector(3 downto 0);
172
      io_g_b    : inout std_logic_vector(3 downto 0);
173
      io_in_i   : in    std_logic_vector(3 downto 0);
174
      si_i      : in    std_logic;
175
      so_o      : out   std_logic;
176
      sk_o      : out   std_logic
177
    );
178
  end component;
179
 
180 136 arniml
  component t421
181
    generic (
182
      opt_ck_div_g         : integer := t400_opt_ck_div_8_c;
183
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
184
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
185
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
186
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
187
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
188
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
189
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
190
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
191
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
192
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
193
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
194
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
195
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
196
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
197
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
198
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
199
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
200
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
201
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
202
    );
203
    port (
204
      ck_i      : in    std_logic;
205
      ck_en_i   : in    std_logic;
206
      reset_n_i : in    std_logic;
207
      cko_i     : in    std_logic;
208
      io_l_b    : inout std_logic_vector(7 downto 0);
209
      io_d_o    : out   std_logic_vector(3 downto 0);
210
      io_g_b    : inout std_logic_vector(3 downto 0);
211
      si_i      : in    std_logic;
212
      so_o      : out   std_logic;
213
      sk_o      : out   std_logic
214
    );
215
  end component;
216
 
217 2 arniml
end t400_system_comp_pack;
218
 
219
 
220
-------------------------------------------------------------------------------
221
-- File History:
222
--
223
-- $Log: not supported by cvs2svn $
224 136 arniml
-- Revision 1.4  2006/06/05 20:02:46  arniml
225
-- use microbus generic
226
--
227 107 arniml
-- Revision 1.3  2006/05/23 01:16:19  arniml
228
-- routi CKO to t400_core
229
--
230 55 arniml
-- Revision 1.2  2006/05/14 22:29:33  arniml
231
-- t420 hierarchies added
232
--
233 14 arniml
-- Revision 1.1.1.1  2006/05/06 01:56:45  arniml
234
-- import from local CVS repository, LOC_CVS_0_1
235
--
236 2 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.