OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t400_system_comp_pack-p.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3
-- $Id: t400_system_comp_pack-p.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $
4
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t400_opt_pack.all;
15
 
16
package t400_system_comp_pack is
17
 
18
  component t410_notri
19
    generic (
20
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
21
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
22
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
23
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
24
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
25
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
26
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
27
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
28
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
29
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
30
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
31
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
32
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
33
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
34
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
35
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
36
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
37
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
38
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
39
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
40
    );
41
    port (
42
      ck_i      : in  std_logic;
43
      ck_en_i   : in  std_logic;
44
      reset_n_i : in  std_logic;
45
      cko_i     : in  std_logic;
46
      io_l_i    : in  std_logic_vector(7 downto 0);
47
      io_l_o    : out std_logic_vector(7 downto 0);
48
      io_l_en_o : out std_logic_vector(7 downto 0);
49
      io_d_o    : out std_logic_vector(3 downto 0);
50
      io_d_en_o : out std_logic_vector(3 downto 0);
51
      io_g_i    : in  std_logic_vector(3 downto 0);
52
      io_g_o    : out std_logic_vector(3 downto 0);
53
      io_g_en_o : out std_logic_vector(3 downto 0);
54
      si_i      : in  std_logic;
55
      so_o      : out std_logic;
56
      so_en_o   : out std_logic;
57
      sk_o      : out std_logic;
58
      sk_en_o   : out std_logic
59
    );
60
  end component;
61
 
62
  component t411
63
    generic (
64
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
65
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
66
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
67
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
68
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
69
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
70
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
71
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
72
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
73
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
74
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
75
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
76
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
77
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
78
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
79
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
80
    );
81
    port (
82
      ck_i      : in    std_logic;
83
      ck_en_i   : in    std_logic;
84
      reset_n_i : in    std_logic;
85
      si_i      : in    std_logic;
86
      so_o      : out   std_logic;
87
      sk_o      : out   std_logic;
88
      io_l_b    : inout std_logic_vector(7 downto 0);
89
      io_d_o    : out   std_logic_vector(1 downto 0);
90
      io_g_b    : inout std_logic_vector(2 downto 0)
91
    );
92
  end component;
93
 
94
end t400_system_comp_pack;
95
 
96
 
97
-------------------------------------------------------------------------------
98
-- File History:
99
--
100
-- $Log: not supported by cvs2svn $
101
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.