OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t420.vhd] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 arniml
-------------------------------------------------------------------------------
2
--
3
-- T420 system toplevel.
4
--
5 39 arniml
-- $Id: t420.vhd,v 1.3 2006-05-20 02:49:04 arniml Exp $
6 15 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
 
49
use work.t400_opt_pack.all;
50
 
51
entity t420 is
52
 
53
  generic (
54 39 arniml
    opt_ck_div_g         : integer := t400_opt_ck_div_8_c;
55 15 arniml
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
56
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
57
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
58
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
59
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
60
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
61
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
62
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
63
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
64
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
65
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
66
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
67
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
68
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
69
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
70
  );
71
  port (
72
    ck_i      : in    std_logic;
73
    ck_en_i   : in    std_logic;
74
    reset_n_i : in    std_logic;
75
    io_l_b    : inout std_logic_vector(7 downto 0);
76
    io_d_o    : out   std_logic_vector(3 downto 0);
77
    io_g_b    : inout std_logic_vector(3 downto 0);
78
    io_in_i   : in    std_logic_vector(3 downto 0);
79
    si_i      : in    std_logic;
80
    so_o      : out   std_logic;
81
    sk_o      : out   std_logic
82
  );
83
 
84
end t420;
85
 
86
 
87
use work.t400_system_comp_pack.t420_notri;
88
 
89
architecture struct of t420 is
90
 
91
  signal io_l_from_t420_s,
92
         io_l_en_s         : std_logic_vector(7 downto 0);
93
  signal io_d_from_t420_s,
94
         io_d_en_s         : std_logic_vector(3 downto 0);
95 27 arniml
  signal io_g_from_t420_s,
96 15 arniml
         io_g_en_s         : std_logic_vector(3 downto 0);
97
 
98
  signal so_s,
99
         so_en_s           : std_logic;
100
  signal sk_s,
101
         sk_en_s           : std_logic;
102
 
103
  signal gnd_s             : std_logic;
104
 
105
begin
106
 
107
  gnd_s <= '0';
108
 
109
  -----------------------------------------------------------------------------
110
  -- T420 without tri-states
111
  -----------------------------------------------------------------------------
112
  t420_notri_b : t420_notri
113
    generic map (
114
      opt_ck_div_g         => opt_ck_div_g,
115
      opt_cko_g            => t400_opt_cko_crystal_c,
116
      opt_l_out_type_7_g   => opt_l_out_type_7_g,
117
      opt_l_out_type_6_g   => opt_l_out_type_6_g,
118
      opt_l_out_type_5_g   => opt_l_out_type_5_g,
119
      opt_l_out_type_4_g   => opt_l_out_type_4_g,
120
      opt_l_out_type_3_g   => opt_l_out_type_3_g,
121
      opt_l_out_type_2_g   => opt_l_out_type_2_g,
122
      opt_l_out_type_1_g   => opt_l_out_type_1_g,
123
      opt_l_out_type_0_g   => opt_l_out_type_0_g,
124
      opt_d_out_type_3_g   => t400_opt_out_type_std_c,
125
      opt_d_out_type_2_g   => t400_opt_out_type_std_c,
126
      opt_d_out_type_1_g   => opt_d_out_type_1_g,
127
      opt_d_out_type_0_g   => opt_d_out_type_0_g,
128
      opt_g_out_type_3_g   => t400_opt_out_type_std_c,
129
      opt_g_out_type_2_g   => opt_g_out_type_2_g,
130
      opt_g_out_type_1_g   => opt_g_out_type_1_g,
131
      opt_g_out_type_0_g   => opt_g_out_type_0_g,
132
      opt_so_output_type_g => opt_so_output_type_g,
133
      opt_sk_output_type_g => opt_sk_output_type_g
134
    )
135
    port map (
136
      ck_i      => ck_i,
137
      ck_en_i   => ck_en_i,
138
      reset_n_i => reset_n_i,
139
      cko_i     => gnd_s,
140
      io_l_i    => io_l_b,
141
      io_l_o    => io_l_from_t420_s,
142
      io_l_en_o => io_l_en_s,
143
      io_d_o    => io_d_from_t420_s,
144
      io_d_en_o => io_d_en_s,
145 27 arniml
      io_g_i    => io_g_b,
146 15 arniml
      io_g_o    => io_g_from_t420_s,
147
      io_g_en_o => io_g_en_s,
148
      io_in_i   => io_in_i,
149
      si_i      => si_i,
150
      so_o      => so_s,
151
      so_en_o   => so_en_s,
152
      sk_o      => sk_s,
153
      sk_en_o   => sk_en_s
154
    );
155
 
156
 
157
  -----------------------------------------------------------------------------
158
  -- Tri-states for output drivers
159
  -----------------------------------------------------------------------------
160
  io_l_tri: for idx in 7 downto 0 generate
161
    io_l_b(idx)  <=   io_l_from_t420_s(idx)
162
                    when io_l_en_s(idx) = '1' else
163
                      'Z';
164
  end generate;
165
  --
166
  io_d_tri: for idx in 3 downto 0 generate
167
    io_d_o(idx)  <=   io_d_from_t420_s(idx)
168
                    when io_d_en_s(idx) = '1' else
169
                      'Z';
170
  end generate;
171
  --
172
  io_g_tri: for idx in 3 downto 0 generate
173
    io_g_b(idx)  <=   io_g_from_t420_s(idx)
174
                    when io_g_en_s(idx) = '1' else
175
                      'Z';
176
  end generate;
177
  --
178
  so_o           <=   so_s
179
                    when so_en_s = '1' else
180
                      'Z';
181
  --
182
  sk_o           <=   sk_s
183
                    when sk_en_s = '1' else
184
                      'Z';
185
 
186
end struct;
187
 
188
 
189
-------------------------------------------------------------------------------
190
-- File History:
191
--
192
-- $Log: not supported by cvs2svn $
193 39 arniml
-- Revision 1.2  2006/05/17 00:38:31  arniml
194
-- connect missing input direction for IO G
195
--
196 27 arniml
-- Revision 1.1  2006/05/14 22:29:01  arniml
197
-- initial check-in
198
--
199 15 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.