OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t420_notri.vhd] - Blame information for rev 176

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 arniml
-------------------------------------------------------------------------------
2
--
3
-- T420/421 controller toplevel without tri-states.
4
--
5 173 arniml
-- $Id: t420_notri.vhd,v 1.4 2008-08-23 11:19:20 arniml Exp $
6
-- $Name: not supported by cvs2svn $
7 15 arniml
--
8
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
9
--
10
-- All rights reserved
11
--
12
-- Redistribution and use in source and synthezised forms, with or without
13
-- modification, are permitted provided that the following conditions are met:
14
--
15
-- Redistributions of source code must retain the above copyright notice,
16
-- this list of conditions and the following disclaimer.
17
--
18
-- Redistributions in synthesized form must reproduce the above copyright
19
-- notice, this list of conditions and the following disclaimer in the
20
-- documentation and/or other materials provided with the distribution.
21
--
22
-- Neither the name of the author nor the names of other contributors may
23
-- be used to endorse or promote products derived from this software without
24
-- specific prior written permission.
25
--
26
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
27
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
28
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
29
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
30
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
31
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
32
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
33
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
34
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
35
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
36
-- POSSIBILITY OF SUCH DAMAGE.
37
--
38
-- Please report bugs to the author, but before you do so, please
39
-- make sure that this is not a derivative work and that
40
-- you have the latest version of this file.
41
--
42
-- The latest version of this file can be found at:
43
--      http://www.opencores.org/cvsweb.shtml/t400/
44
--
45
-------------------------------------------------------------------------------
46
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
 
50
use work.t400_opt_pack.all;
51
 
52
entity t420_notri is
53
 
54
  generic (
55 137 arniml
    opt_type_g           : integer := t400_opt_type_420_c;
56 15 arniml
    opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
57
    opt_cko_g            : integer := t400_opt_cko_crystal_c;
58
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
59
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
60
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
61
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
62
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
63
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
64
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
65
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
66 109 arniml
    opt_microbus_g       : integer := t400_opt_no_microbus_c;
67 15 arniml
    opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
68
    opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
69
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
70
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
71
    opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
72
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
73
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
74
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
75
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
76
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
77
  );
78
  port (
79
    ck_i      : in  std_logic;
80
    ck_en_i   : in  std_logic;
81
    reset_n_i : in  std_logic;
82
    cko_i     : in  std_logic;
83
    io_l_i    : in  std_logic_vector(7 downto 0);
84
    io_l_o    : out std_logic_vector(7 downto 0);
85
    io_l_en_o : out std_logic_vector(7 downto 0);
86
    io_d_o    : out std_logic_vector(3 downto 0);
87
    io_d_en_o : out std_logic_vector(3 downto 0);
88
    io_g_i    : in  std_logic_vector(3 downto 0);
89
    io_g_o    : out std_logic_vector(3 downto 0);
90
    io_g_en_o : out std_logic_vector(3 downto 0);
91
    io_in_i   : in  std_logic_vector(3 downto 0);
92
    si_i      : in  std_logic;
93
    so_o      : out std_logic;
94
    so_en_o   : out std_logic;
95
    sk_o      : out std_logic;
96
    sk_en_o   : out std_logic
97
  );
98
 
99
end t420_notri;
100
 
101
 
102
use work.t400_core_comp_pack.t400_core;
103
use work.t400_tech_comp_pack.t400_por;
104 109 arniml
use work.t400_tech_comp_pack.generic_ram_ena;
105 15 arniml
 
106
architecture struct of t420_notri is
107
 
108
  component t420_rom
109
    port (
110
      ck_i   : in  std_logic;
111
      addr_i : in  std_logic_vector(9 downto 0);
112
      data_o : out std_logic_vector(7 downto 0)
113
    );
114
  end component;
115
 
116
  signal por_n_s             : std_logic;
117
 
118
  signal pm_addr_s           : std_logic_vector(9 downto 0);
119
  signal pm_data_s           : std_logic_vector(7 downto 0);
120
 
121
  signal dm_addr_s           : std_logic_vector(5 downto 0);
122
  signal dm_we_s             : std_logic;
123
  signal dm_data_to_core_s,
124
         dm_data_from_core_s : std_logic_vector(3 downto 0);
125
 
126
begin
127
 
128
  -----------------------------------------------------------------------------
129
  -- T400 core
130
  -----------------------------------------------------------------------------
131
  core_b : t400_core
132
    generic map (
133 137 arniml
      opt_type_g           => opt_type_g,
134 15 arniml
      opt_ck_div_g         => opt_ck_div_g,
135
      opt_cko_g            => opt_cko_g,
136
      opt_l_out_type_7_g   => opt_l_out_type_7_g,
137
      opt_l_out_type_6_g   => opt_l_out_type_6_g,
138
      opt_l_out_type_5_g   => opt_l_out_type_5_g,
139
      opt_l_out_type_4_g   => opt_l_out_type_4_g,
140
      opt_l_out_type_3_g   => opt_l_out_type_3_g,
141
      opt_l_out_type_2_g   => opt_l_out_type_2_g,
142
      opt_l_out_type_1_g   => opt_l_out_type_1_g,
143
      opt_l_out_type_0_g   => opt_l_out_type_0_g,
144 109 arniml
      opt_microbus_g       => opt_microbus_g,
145 15 arniml
      opt_d_out_type_3_g   => opt_d_out_type_3_g,
146
      opt_d_out_type_2_g   => opt_d_out_type_2_g,
147
      opt_d_out_type_1_g   => opt_d_out_type_1_g,
148
      opt_d_out_type_0_g   => opt_d_out_type_0_g,
149
      opt_g_out_type_3_g   => opt_g_out_type_3_g,
150
      opt_g_out_type_2_g   => opt_g_out_type_2_g,
151
      opt_g_out_type_1_g   => opt_g_out_type_1_g,
152
      opt_g_out_type_0_g   => opt_g_out_type_0_g,
153
      opt_so_output_type_g => opt_so_output_type_g,
154
      opt_sk_output_type_g => opt_sk_output_type_g
155
    )
156
    port map (
157
      ck_i      => ck_i,
158
      ck_en_i   => ck_en_i,
159
      por_n_i   => por_n_s,
160
      reset_n_i => reset_n_i,
161
      cko_i     => cko_i,
162
      pm_addr_o => pm_addr_s,
163
      pm_data_i => pm_data_s,
164
      dm_addr_o => dm_addr_s,
165
      dm_we_o   => dm_we_s,
166
      dm_data_o => dm_data_from_core_s,
167
      dm_data_i => dm_data_to_core_s,
168
      io_l_i    => io_l_i,
169
      io_l_o    => io_l_o,
170
      io_l_en_o => io_l_en_o,
171
      io_d_o    => io_d_o,
172
      io_d_en_o => io_d_en_o,
173
      io_g_i    => io_g_i,
174
      io_g_o    => io_g_o,
175
      io_g_en_o => io_g_en_o,
176
      io_in_i   => io_in_i,
177
      si_i      => si_i,
178
      so_o      => so_o,
179
      so_en_o   => so_en_o,
180
      sk_o      => sk_o,
181
      sk_en_o   => sk_en_o
182
    );
183
 
184
 
185
  -----------------------------------------------------------------------------
186
  -- Program memory
187
  -----------------------------------------------------------------------------
188
  pmem_b : t420_rom
189
    port map (
190
      ck_i   => ck_i,
191
      addr_i => pm_addr_s,
192
      data_o => pm_data_s
193
    );
194
 
195
 
196
  -----------------------------------------------------------------------------
197
  -- Data memory
198
  -----------------------------------------------------------------------------
199 109 arniml
  dmem_b : generic_ram_ena
200 15 arniml
    generic map (
201
      addr_width_g => 6,
202
      data_width_g => 4
203
    )
204
    port map (
205
      clk_i => ck_i,
206
      a_i   => dm_addr_s,
207
      we_i  => dm_we_s,
208 109 arniml
      ena_i => ck_en_i,
209 15 arniml
      d_i   => dm_data_from_core_s,
210
      d_o   => dm_data_to_core_s
211
    );
212
 
213
 
214
  -----------------------------------------------------------------------------
215
  -- Power-on reset circuit
216
  -----------------------------------------------------------------------------
217
  por_b : t400_por
218
    generic map (
219
      delay_g     => 4,
220
      cnt_width_g => 2
221
    )
222
    port map (
223
      clk_i   => ck_i,
224
      por_n_o => por_n_s
225
    );
226
 
227
end struct;
228
 
229
 
230
-------------------------------------------------------------------------------
231
-- File History:
232
--
233
-- $Log: not supported by cvs2svn $
234 173 arniml
-- Revision 1.3  2006/06/11 13:48:43  arniml
235
-- hand generic opt_type_g down to t400_core
236
--
237 137 arniml
-- Revision 1.2  2006/06/05 20:03:52  arniml
238
-- connect microbus generic and include generic_ram_ena
239
--
240 109 arniml
-- Revision 1.1  2006/05/14 22:29:01  arniml
241
-- initial check-in
242
--
243 15 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.