OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t421.vhd] - Blame information for rev 176

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 139 arniml
-------------------------------------------------------------------------------
2
--
3
-- T421 system toplevel.
4
--
5 173 arniml
-- $Id: t421.vhd,v 1.2 2008-08-23 11:19:20 arniml Exp $
6
-- $Name: not supported by cvs2svn $
7 139 arniml
--
8
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
9
--
10
-- All rights reserved
11
--
12
-- Redistribution and use in source and synthezised forms, with or without
13
-- modification, are permitted provided that the following conditions are met:
14
--
15
-- Redistributions of source code must retain the above copyright notice,
16
-- this list of conditions and the following disclaimer.
17
--
18
-- Redistributions in synthesized form must reproduce the above copyright
19
-- notice, this list of conditions and the following disclaimer in the
20
-- documentation and/or other materials provided with the distribution.
21
--
22
-- Neither the name of the author nor the names of other contributors may
23
-- be used to endorse or promote products derived from this software without
24
-- specific prior written permission.
25
--
26
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
27
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
28
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
29
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
30
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
31
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
32
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
33
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
34
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
35
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
36
-- POSSIBILITY OF SUCH DAMAGE.
37
--
38
-- Please report bugs to the author, but before you do so, please
39
-- make sure that this is not a derivative work and that
40
-- you have the latest version of this file.
41
--
42
-- The latest version of this file can be found at:
43
--      http://www.opencores.org/cvsweb.shtml/t400/
44
--
45
-------------------------------------------------------------------------------
46
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
 
50
use work.t400_opt_pack.all;
51
 
52
entity t421 is
53
 
54
  generic (
55
    opt_ck_div_g         : integer := t400_opt_ck_div_8_c;
56
    opt_cko_g            : integer := t400_opt_cko_crystal_c;
57
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
58
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
59
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
60
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
61
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
62
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
63
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
64
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
65
    opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
66
    opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
67
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
68
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
69
    opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
70
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
71
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
72
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
73
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
74
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
75
  );
76
  port (
77
    ck_i      : in    std_logic;
78
    ck_en_i   : in    std_logic;
79
    reset_n_i : in    std_logic;
80
    cko_i     : in    std_logic;
81
    io_l_b    : inout std_logic_vector(7 downto 0);
82
    io_d_o    : out   std_logic_vector(3 downto 0);
83
    io_g_b    : inout std_logic_vector(3 downto 0);
84
    si_i      : in    std_logic;
85
    so_o      : out   std_logic;
86
    sk_o      : out   std_logic
87
  );
88
 
89
end t421;
90
 
91
 
92
use work.t400_system_comp_pack.t420_notri;
93
 
94
architecture struct of t421 is
95
 
96
  signal io_l_from_t420_s,
97
         io_l_en_s         : std_logic_vector(7 downto 0);
98
  signal io_d_from_t420_s,
99
         io_d_en_s         : std_logic_vector(3 downto 0);
100
  signal io_g_from_t420_s,
101
         io_g_en_s         : std_logic_vector(3 downto 0);
102
 
103
  signal so_s,
104
         so_en_s           : std_logic;
105
  signal sk_s,
106
         sk_en_s           : std_logic;
107
 
108
  signal vdd4_s            : std_logic_vector(3 downto 0);
109
 
110
begin
111
 
112
  vdd4_s <= (others => '1');
113
 
114
  -----------------------------------------------------------------------------
115
  -- T420 without tri-states in T421 configuration
116
  -----------------------------------------------------------------------------
117
  t420_notri_b : t420_notri
118
    generic map (
119
      opt_type_g           => t400_opt_type_421_c,
120
      opt_ck_div_g         => opt_ck_div_g,
121
      opt_cko_g            => opt_cko_g,
122
      opt_l_out_type_7_g   => opt_l_out_type_7_g,
123
      opt_l_out_type_6_g   => opt_l_out_type_6_g,
124
      opt_l_out_type_5_g   => opt_l_out_type_5_g,
125
      opt_l_out_type_4_g   => opt_l_out_type_4_g,
126
      opt_l_out_type_3_g   => opt_l_out_type_3_g,
127
      opt_l_out_type_2_g   => opt_l_out_type_2_g,
128
      opt_l_out_type_1_g   => opt_l_out_type_1_g,
129
      opt_l_out_type_0_g   => opt_l_out_type_0_g,
130
      opt_microbus_g       => t400_opt_no_microbus_c,
131
      opt_d_out_type_3_g   => opt_d_out_type_3_g,
132
      opt_d_out_type_2_g   => opt_d_out_type_2_g,
133
      opt_d_out_type_1_g   => opt_d_out_type_1_g,
134
      opt_d_out_type_0_g   => opt_d_out_type_0_g,
135
      opt_g_out_type_3_g   => opt_g_out_type_3_g,
136
      opt_g_out_type_2_g   => opt_g_out_type_2_g,
137
      opt_g_out_type_1_g   => opt_g_out_type_1_g,
138
      opt_g_out_type_0_g   => opt_g_out_type_0_g,
139
      opt_so_output_type_g => opt_so_output_type_g,
140
      opt_sk_output_type_g => opt_sk_output_type_g
141
    )
142
    port map (
143
      ck_i      => ck_i,
144
      ck_en_i   => ck_en_i,
145
      reset_n_i => reset_n_i,
146
      cko_i     => cko_i,
147
      io_l_i    => io_l_b,
148
      io_l_o    => io_l_from_t420_s,
149
      io_l_en_o => io_l_en_s,
150
      io_d_o    => io_d_from_t420_s,
151
      io_d_en_o => io_d_en_s,
152
      io_g_i    => io_g_b,
153
      io_g_o    => io_g_from_t420_s,
154
      io_g_en_o => io_g_en_s,
155
      io_in_i   => vdd4_s,
156
      si_i      => si_i,
157
      so_o      => so_s,
158
      so_en_o   => so_en_s,
159
      sk_o      => sk_s,
160
      sk_en_o   => sk_en_s
161
    );
162
 
163
 
164
  -----------------------------------------------------------------------------
165
  -- Tri-states for output drivers
166
  -----------------------------------------------------------------------------
167
  io_l_tri: for idx in 7 downto 0 generate
168
    io_l_b(idx)  <=   io_l_from_t420_s(idx)
169
                    when io_l_en_s(idx) = '1' else
170
                      'Z';
171
  end generate;
172
  --
173
  io_d_tri: for idx in 3 downto 0 generate
174
    io_d_o(idx)  <=   io_d_from_t420_s(idx)
175
                    when io_d_en_s(idx) = '1' else
176
                      'Z';
177
  end generate;
178
  --
179
  io_g_tri: for idx in 3 downto 0 generate
180
    io_g_b(idx)  <=   io_g_from_t420_s(idx)
181
                    when io_g_en_s(idx) = '1' else
182
                      'Z';
183
  end generate;
184
  --
185
  so_o           <=   so_s
186
                    when so_en_s = '1' else
187
                      'Z';
188
  --
189
  sk_o           <=   sk_s
190
                    when sk_en_s = '1' else
191
                      'Z';
192
 
193
end struct;
194
 
195
 
196
-------------------------------------------------------------------------------
197
-- File History:
198
--
199
-- $Log: not supported by cvs2svn $
200 173 arniml
-- Revision 1.1  2006/06/11 13:47:24  arniml
201
-- initial check-in
202
--
203 139 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.