OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_comp_pack-p.vhd] - Blame information for rev 37

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3 37 arniml
-- $Id: t400_comp_pack-p.vhd,v 1.2 2006-05-20 02:48:17 arniml Exp $
4 2 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t400_opt_pack.all;
15
use work.t400_pack.all;
16
 
17
package t400_comp_pack is
18
 
19
  component t400_clkgen
20
    generic (
21
      opt_ck_div_g : integer := t400_opt_ck_div_16_c
22
    );
23
    port (
24
      -- System Interface -----------------------------------------------------
25
      ck_i      : in  std_logic;
26
      ck_en_i   : in  boolean;
27
      por_i     : in  boolean;
28
      -- Clock Interface ------------------------------------------------------
29
      phi1_o    : out std_logic;
30
      out_en_o  : out boolean;
31
      in_en_o   : out boolean;
32
      icyc_en_o : out boolean
33
    );
34
  end component;
35
 
36
  component t400_reset
37
    port (
38
      -- System Interface -----------------------------------------------------
39
      ck_i      : in  std_logic;
40
      icyc_en_i : in  boolean;
41
      -- Reset Interface ------------------------------------------------------
42
      por_i     : in  boolean;
43
      reset_n_i : in  std_logic;
44
      res_o     : out boolean
45
    );
46
  end component;
47
 
48
  component t400_stack
49
    generic (
50
      opt_type_g : integer := t400_opt_type_420_c
51
    );
52
    port (
53
      -- System Interface -----------------------------------------------------
54
      ck_i    : in  std_logic;
55
      ck_en_i : in  boolean;
56
      por_i   : in  boolean;
57
      -- Stack Control Interface ----------------------------------------------
58
      op_i    : in  stack_op_t;
59
      -- Program Counter Interface --------------------------------------------
60
      pc_i    : in  pc_t;
61
      pc_o    : out pc_t
62
    );
63
  end component;
64
 
65
  component t400_pmem_ctrl
66
    generic (
67
      opt_type_g : integer := t400_opt_type_420_c
68
    );
69
    port (
70
      -- System Interface -----------------------------------------------------
71
      ck_i       : in  std_logic;
72
      ck_en_i    : in  boolean;
73
      por_i      : in  boolean;
74
      res_i      : in  boolean;
75
      a_i        : in  dw_t;
76
      m_i        : in  dw_t;
77
      -- Control Interface ----------------------------------------------------
78
      op_i       : in  pc_op_t;
79
      dec_data_i : in  dec_data_t;
80
      -- Stack Interface ------------------------------------------------------
81
      pc_o       : out pc_t;
82
      pc_i       : in  pc_t;
83
      -- Program Memory Interface ---------------------------------------------
84
      pm_addr_o  : out pc_t
85
    );
86
  end component;
87
 
88
  component t400_alu
89
    port (
90
      -- System Interface -----------------------------------------------------
91
      ck_i       : in  std_logic;
92
      ck_en_i    : in  boolean;
93
      por_i      : in  boolean;
94
      res_i      : in  boolean;
95
      -- Control Interface ----------------------------------------------------
96
      op_i       : in  alu_op_t;
97
      -- Data Interface -------------------------------------------------------
98
      m_i        : in  dw_t;
99
      dec_data_i : in  dec_data_t;
100
      q_low_i    : in  dw_t;
101
      b_i        : in  b_t;
102
      g_i        : in  dw_t;
103
      in_i       : in  dw_t;
104
      il_i       : in  dw_t;
105
      sio_i      : in  dw_t;
106
      a_o        : out dw_t;
107
      carry_o    : out std_logic;
108
      c_o        : out std_logic
109
    );
110
  end component;
111
 
112
  component t400_dmem_ctrl
113
    generic (
114
      opt_type_g : integer := t400_opt_type_420_c
115
    );
116
    port (
117
      -- System Interface -----------------------------------------------------
118
      ck_i       : in  std_logic;
119
      ck_en_i    : in  boolean;
120
      por_i      : in  boolean;
121
      res_i      : in  boolean;
122
      -- Control Interface ----------------------------------------------------
123
      dmem_op_i  : in  dmem_op_t;
124
      b_op_i     : in  b_op_t;
125
      dec_data_i : in  dec_data_t;
126
      a_i        : in  dw_t;
127
      q_high_i   : in  dw_t;
128
      b_o        : out b_t;
129
      -- Data Memory Interface ------------------------------------------------
130
      dm_addr_o  : out dm_addr_t;
131
      dm_data_i  : in  dw_t;
132
      dm_data_o  : out dw_t;
133
      dm_we_o    : out std_logic
134
    );
135
  end component;
136
 
137
  component t400_opc_table
138
    generic (
139
      opt_type_g   : integer := t400_opt_type_420_c
140
    );
141
    port (
142
      opcode_i     : in  byte_t;
143
      mnemonic_o   : out mnemonic_t;
144
      multi_byte_o : out boolean
145
    );
146
  end component;
147
 
148
  component t400_decoder
149
    generic (
150
      opt_type_g : integer := t400_opt_type_420_c
151
    );
152
    port (
153
      ck_i       : in  std_logic;
154
      ck_en_i    : in  boolean;
155
      por_i      : in  boolean;
156
      res_i      : in  boolean;
157
      out_en_i   : in  boolean;
158
      in_en_i    : in  boolean;
159
      icyc_en_i  : in  boolean;
160
      pc_op_o    : out pc_op_t;
161
      stack_op_o : out stack_op_t;
162
      dmem_op_o  : out dmem_op_t;
163
      b_op_o     : out b_op_t;
164
      skip_op_o  : out skip_op_t;
165
      alu_op_o   : out alu_op_t;
166
      io_l_op_o  : out io_l_op_t;
167
      io_d_op_o  : out io_d_op_t;
168
      io_g_op_o  : out io_g_op_t;
169
      sio_op_o   : out sio_op_t;
170
      dec_data_o : out dec_data_t;
171
      is_lbi_o   : out boolean;
172
      en_o       : out dw_t;
173
      skip_i     : in  boolean;
174
      skip_lbi_i : in  boolean;
175
      pm_addr_i  : in  pc_t;
176
      pm_data_i  : in  byte_t
177
    );
178
  end component;
179
 
180
  component t400_skip
181
    port (
182
      ck_i       : in  std_logic;
183
      ck_en_i    : in  boolean;
184
      por_i      : in  boolean;
185
      res_i      : in  boolean;
186
      op_i       : in  skip_op_t;
187
      dec_data_i : in  dec_data_t;
188
      carry_i    : in  std_logic;
189
      c_i        : in  std_logic;
190
      bd_i       : in  dw_t;
191
      is_lbi_i   : in  boolean;
192
      a_i        : in  dw_t;
193
      m_i        : in  dw_t;
194
      g_i        : in  dw_t;
195 37 arniml
      tim_c_i    : in  boolean;
196 2 arniml
      skip_o     : out boolean;
197
      skip_lbi_o : out boolean
198
    );
199
  end component;
200
 
201
  component t400_io_l
202
    generic (
203
      opt_out_type_7_g : integer := t400_opt_out_type_std_c;
204
      opt_out_type_6_g : integer := t400_opt_out_type_std_c;
205
      opt_out_type_5_g : integer := t400_opt_out_type_std_c;
206
      opt_out_type_4_g : integer := t400_opt_out_type_std_c;
207
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
208
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
209
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
210
      opt_out_type_0_g : integer := t400_opt_out_type_std_c;
211
      opt_microbus_g   : integer := t400_opt_no_microbus_c
212
    );
213
    port (
214
      -- System Interface -----------------------------------------------------
215
      ck_i      : in  std_logic;
216
      ck_en_i   : in  boolean;
217
      por_i     : in  boolean;
218
      -- Control Interface ----------------------------------------------------
219
      op_i      : in  io_l_op_t;
220
      en2_i     : in  std_logic;
221
      m_i       : in  dw_t;
222
      a_i       : in  dw_t;
223
      pm_data_i : in  byte_t;
224
      q_o       : out byte_t;
225
      -- Microbus Interface ---------------------------------------------------
226
      cs_n_i    : in  std_logic;
227
      rd_n_i    : in  std_logic;
228
      wr_n_i    : in  std_logic;
229
      -- Port L Interface -----------------------------------------------------
230
      io_l_i    : in  byte_t;
231
      io_l_o    : out byte_t;
232
      io_l_en_o : out byte_t
233
    );
234
  end component;
235
 
236
  component t400_io_d
237
    generic (
238
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
239
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
240
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
241
      opt_out_type_0_g : integer := t400_opt_out_type_std_c
242
    );
243
    port (
244
      -- System Interface -----------------------------------------------------
245
      ck_i      : in  std_logic;
246
      ck_en_i   : in  boolean;
247
      por_i     : in  boolean;
248
      res_i     : in  boolean;
249
      -- Control Interface ----------------------------------------------------
250
      op_i      : in  io_d_op_t;
251
      bd_i      : in  bd_t;
252
      -- Port D Interface -----------------------------------------------------
253
      io_d_o    : out dw_t;
254
      io_d_en_o : out dw_t
255
    );
256
  end component;
257
 
258
  component t400_io_g
259
    generic (
260
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
261
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
262
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
263
      opt_out_type_0_g : integer := t400_opt_out_type_std_c
264
    );
265
    port (
266
      -- System Interface -----------------------------------------------------
267
      ck_i       : in  std_logic;
268
      ck_en_i    : in  boolean;
269
      por_i      : in  boolean;
270
      res_i      : in  boolean;
271
      -- Control Interface ----------------------------------------------------
272
      op_i       : in  io_g_op_t;
273
      m_i        : in  dw_t;
274
      dec_data_i : in  dec_data_t;
275
      -- Port G Interface -----------------------------------------------------
276
      io_g_o     : out dw_t;
277
      io_g_en_o  : out dw_t
278
    );
279
  end component;
280
 
281
  component t400_sio
282
    generic (
283
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
284
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
285
    );
286
    port (
287
      -- System Interface -----------------------------------------------------
288
      ck_i       : in  std_logic;
289
      ck_en_i    : in  boolean;
290
      por_i      : in  boolean;
291
      res_i      : in  boolean;
292
      phi1_i     : in  std_logic;
293
      out_en_i   : in  boolean;
294
      in_en_i    : in  boolean;
295
      -- Control Interface ----------------------------------------------------
296
      op_i       : in  sio_op_t;
297
      en0_i      : in  std_logic;
298
      en3_i      : in  std_logic;
299
      -- SIO Interface --------------------------------------------------------
300
      a_i        : in  dw_t;
301
      c_i        : in  std_logic;
302
      sio_o      : out dw_t;
303
      -- Pad Interface --------------------------------------------------------
304
      si_i       : in  std_logic;
305
      so_o       : out std_logic;
306
      so_en_o    : out std_logic;
307
      sk_o       : out std_logic;
308
      sk_en_o    : out std_logic
309
    );
310
  end component;
311
 
312 37 arniml
  component t400_timer
313
    port (
314
      -- System Interface -----------------------------------------------------
315
      ck_i      : in  std_logic;
316
      ck_en_i   : in  boolean;
317
      por_i     : in  boolean;
318
      icyc_en_i : in  boolean;
319
      -- Skip Interface -------------------------------------------------------
320
      op_i      : in  skip_op_t;
321
      c_o       : out boolean
322
    );
323
  end component;
324
 
325 2 arniml
end t400_comp_pack;
326
 
327
 
328
-------------------------------------------------------------------------------
329
-- File History:
330
--
331
-- $Log: not supported by cvs2svn $
332 37 arniml
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
333
-- import from local CVS repository, LOC_CVS_0_1
334
--
335 2 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.