OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_comp_pack-p.vhd] - Blame information for rev 49

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3 49 arniml
-- $Id: t400_comp_pack-p.vhd,v 1.4 2006-05-22 00:03:08 arniml Exp $
4 2 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t400_opt_pack.all;
15
use work.t400_pack.all;
16
 
17
package t400_comp_pack is
18
 
19
  component t400_clkgen
20
    generic (
21
      opt_ck_div_g : integer := t400_opt_ck_div_16_c
22
    );
23
    port (
24
      -- System Interface -----------------------------------------------------
25
      ck_i      : in  std_logic;
26
      ck_en_i   : in  boolean;
27
      por_i     : in  boolean;
28
      -- Clock Interface ------------------------------------------------------
29
      phi1_o    : out std_logic;
30
      out_en_o  : out boolean;
31
      in_en_o   : out boolean;
32
      icyc_en_o : out boolean
33
    );
34
  end component;
35
 
36
  component t400_reset
37
    port (
38
      -- System Interface -----------------------------------------------------
39
      ck_i      : in  std_logic;
40
      icyc_en_i : in  boolean;
41
      -- Reset Interface ------------------------------------------------------
42
      por_i     : in  boolean;
43
      reset_n_i : in  std_logic;
44
      res_o     : out boolean
45
    );
46
  end component;
47
 
48
  component t400_stack
49
    generic (
50
      opt_type_g : integer := t400_opt_type_420_c
51
    );
52
    port (
53
      -- System Interface -----------------------------------------------------
54
      ck_i    : in  std_logic;
55
      ck_en_i : in  boolean;
56
      por_i   : in  boolean;
57
      -- Stack Control Interface ----------------------------------------------
58
      op_i    : in  stack_op_t;
59
      -- Program Counter Interface --------------------------------------------
60
      pc_i    : in  pc_t;
61
      pc_o    : out pc_t
62
    );
63
  end component;
64
 
65
  component t400_pmem_ctrl
66
    generic (
67
      opt_type_g : integer := t400_opt_type_420_c
68
    );
69
    port (
70
      -- System Interface -----------------------------------------------------
71
      ck_i       : in  std_logic;
72
      ck_en_i    : in  boolean;
73
      por_i      : in  boolean;
74
      res_i      : in  boolean;
75
      a_i        : in  dw_t;
76
      m_i        : in  dw_t;
77
      -- Control Interface ----------------------------------------------------
78
      op_i       : in  pc_op_t;
79
      dec_data_i : in  dec_data_t;
80
      -- Stack Interface ------------------------------------------------------
81
      pc_o       : out pc_t;
82
      pc_i       : in  pc_t;
83
      -- Program Memory Interface ---------------------------------------------
84
      pm_addr_o  : out pc_t
85
    );
86
  end component;
87
 
88
  component t400_alu
89 43 arniml
    generic (
90
      opt_cko_g : integer := t400_opt_cko_crystal_c
91
    );
92 2 arniml
    port (
93
      -- System Interface -----------------------------------------------------
94
      ck_i       : in  std_logic;
95
      ck_en_i    : in  boolean;
96
      por_i      : in  boolean;
97
      res_i      : in  boolean;
98 43 arniml
      cko_i      : in  std_logic;
99 2 arniml
      -- Control Interface ----------------------------------------------------
100
      op_i       : in  alu_op_t;
101
      -- Data Interface -------------------------------------------------------
102
      m_i        : in  dw_t;
103
      dec_data_i : in  dec_data_t;
104
      q_low_i    : in  dw_t;
105
      b_i        : in  b_t;
106
      g_i        : in  dw_t;
107
      in_i       : in  dw_t;
108
      sio_i      : in  dw_t;
109
      a_o        : out dw_t;
110
      carry_o    : out std_logic;
111
      c_o        : out std_logic
112
    );
113
  end component;
114
 
115
  component t400_dmem_ctrl
116
    generic (
117
      opt_type_g : integer := t400_opt_type_420_c
118
    );
119
    port (
120
      -- System Interface -----------------------------------------------------
121
      ck_i       : in  std_logic;
122
      ck_en_i    : in  boolean;
123
      por_i      : in  boolean;
124
      res_i      : in  boolean;
125
      -- Control Interface ----------------------------------------------------
126
      dmem_op_i  : in  dmem_op_t;
127
      b_op_i     : in  b_op_t;
128
      dec_data_i : in  dec_data_t;
129
      a_i        : in  dw_t;
130
      q_high_i   : in  dw_t;
131
      b_o        : out b_t;
132
      -- Data Memory Interface ------------------------------------------------
133
      dm_addr_o  : out dm_addr_t;
134
      dm_data_i  : in  dw_t;
135
      dm_data_o  : out dw_t;
136
      dm_we_o    : out std_logic
137
    );
138
  end component;
139
 
140
  component t400_opc_table
141
    generic (
142
      opt_type_g   : integer := t400_opt_type_420_c
143
    );
144
    port (
145
      opcode_i     : in  byte_t;
146
      mnemonic_o   : out mnemonic_t;
147
      multi_byte_o : out boolean
148
    );
149
  end component;
150
 
151
  component t400_decoder
152
    generic (
153
      opt_type_g : integer := t400_opt_type_420_c
154
    );
155
    port (
156
      ck_i       : in  std_logic;
157
      ck_en_i    : in  boolean;
158
      por_i      : in  boolean;
159
      res_i      : in  boolean;
160
      out_en_i   : in  boolean;
161
      in_en_i    : in  boolean;
162
      icyc_en_i  : in  boolean;
163
      pc_op_o    : out pc_op_t;
164
      stack_op_o : out stack_op_t;
165
      dmem_op_o  : out dmem_op_t;
166
      b_op_o     : out b_op_t;
167
      skip_op_o  : out skip_op_t;
168
      alu_op_o   : out alu_op_t;
169
      io_l_op_o  : out io_l_op_t;
170
      io_d_op_o  : out io_d_op_t;
171
      io_g_op_o  : out io_g_op_t;
172 49 arniml
      io_in_op_o : out io_in_op_t;
173 2 arniml
      sio_op_o   : out sio_op_t;
174
      dec_data_o : out dec_data_t;
175
      is_lbi_o   : out boolean;
176
      en_o       : out dw_t;
177
      skip_i     : in  boolean;
178
      skip_lbi_i : in  boolean;
179
      pm_addr_i  : in  pc_t;
180
      pm_data_i  : in  byte_t
181
    );
182
  end component;
183
 
184
  component t400_skip
185
    port (
186
      ck_i       : in  std_logic;
187
      ck_en_i    : in  boolean;
188
      por_i      : in  boolean;
189
      res_i      : in  boolean;
190
      op_i       : in  skip_op_t;
191
      dec_data_i : in  dec_data_t;
192
      carry_i    : in  std_logic;
193
      c_i        : in  std_logic;
194
      bd_i       : in  dw_t;
195
      is_lbi_i   : in  boolean;
196
      a_i        : in  dw_t;
197
      m_i        : in  dw_t;
198
      g_i        : in  dw_t;
199 37 arniml
      tim_c_i    : in  boolean;
200 2 arniml
      skip_o     : out boolean;
201
      skip_lbi_o : out boolean
202
    );
203
  end component;
204
 
205
  component t400_io_l
206
    generic (
207
      opt_out_type_7_g : integer := t400_opt_out_type_std_c;
208
      opt_out_type_6_g : integer := t400_opt_out_type_std_c;
209
      opt_out_type_5_g : integer := t400_opt_out_type_std_c;
210
      opt_out_type_4_g : integer := t400_opt_out_type_std_c;
211
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
212
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
213
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
214
      opt_out_type_0_g : integer := t400_opt_out_type_std_c;
215
      opt_microbus_g   : integer := t400_opt_no_microbus_c
216
    );
217
    port (
218
      -- System Interface -----------------------------------------------------
219
      ck_i      : in  std_logic;
220
      ck_en_i   : in  boolean;
221
      por_i     : in  boolean;
222
      -- Control Interface ----------------------------------------------------
223
      op_i      : in  io_l_op_t;
224
      en2_i     : in  std_logic;
225
      m_i       : in  dw_t;
226
      a_i       : in  dw_t;
227
      pm_data_i : in  byte_t;
228
      q_o       : out byte_t;
229
      -- Microbus Interface ---------------------------------------------------
230
      cs_n_i    : in  std_logic;
231
      rd_n_i    : in  std_logic;
232
      wr_n_i    : in  std_logic;
233
      -- Port L Interface -----------------------------------------------------
234
      io_l_i    : in  byte_t;
235
      io_l_o    : out byte_t;
236
      io_l_en_o : out byte_t
237
    );
238
  end component;
239
 
240
  component t400_io_d
241
    generic (
242
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
243
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
244
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
245
      opt_out_type_0_g : integer := t400_opt_out_type_std_c
246
    );
247
    port (
248
      -- System Interface -----------------------------------------------------
249
      ck_i      : in  std_logic;
250
      ck_en_i   : in  boolean;
251
      por_i     : in  boolean;
252
      res_i     : in  boolean;
253
      -- Control Interface ----------------------------------------------------
254
      op_i      : in  io_d_op_t;
255
      bd_i      : in  bd_t;
256
      -- Port D Interface -----------------------------------------------------
257
      io_d_o    : out dw_t;
258
      io_d_en_o : out dw_t
259
    );
260
  end component;
261
 
262
  component t400_io_g
263
    generic (
264
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
265
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
266
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
267
      opt_out_type_0_g : integer := t400_opt_out_type_std_c
268
    );
269
    port (
270
      -- System Interface -----------------------------------------------------
271
      ck_i       : in  std_logic;
272
      ck_en_i    : in  boolean;
273
      por_i      : in  boolean;
274
      res_i      : in  boolean;
275
      -- Control Interface ----------------------------------------------------
276
      op_i       : in  io_g_op_t;
277
      m_i        : in  dw_t;
278
      dec_data_i : in  dec_data_t;
279
      -- Port G Interface -----------------------------------------------------
280
      io_g_o     : out dw_t;
281
      io_g_en_o  : out dw_t
282
    );
283
  end component;
284
 
285 49 arniml
  component t400_io_in
286
    port (
287
      -- System Interface -----------------------------------------------------
288
      ck_i    : in  std_logic;
289
      ck_en_i : in  boolean;
290
      por_i   : in  boolean;
291
      in_en_i : in  boolean;
292
      -- Control Interface ----------------------------------------------------
293
      op_i    : in  io_in_op_t;
294
      en1_i   : in  std_logic;
295
      -- Port Interface -------------------------------------------------------
296
      io_in_i : in  dw_t;
297
      in_o    : out dw_t;
298
      int_o   : out boolean
299
    );
300
  end component;
301
 
302 2 arniml
  component t400_sio
303
    generic (
304
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
305
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
306
    );
307
    port (
308
      -- System Interface -----------------------------------------------------
309
      ck_i       : in  std_logic;
310
      ck_en_i    : in  boolean;
311
      por_i      : in  boolean;
312
      res_i      : in  boolean;
313
      phi1_i     : in  std_logic;
314
      out_en_i   : in  boolean;
315
      in_en_i    : in  boolean;
316
      -- Control Interface ----------------------------------------------------
317
      op_i       : in  sio_op_t;
318
      en0_i      : in  std_logic;
319
      en3_i      : in  std_logic;
320
      -- SIO Interface --------------------------------------------------------
321
      a_i        : in  dw_t;
322
      c_i        : in  std_logic;
323
      sio_o      : out dw_t;
324
      -- Pad Interface --------------------------------------------------------
325
      si_i       : in  std_logic;
326
      so_o       : out std_logic;
327
      so_en_o    : out std_logic;
328
      sk_o       : out std_logic;
329
      sk_en_o    : out std_logic
330
    );
331
  end component;
332
 
333 37 arniml
  component t400_timer
334
    port (
335
      -- System Interface -----------------------------------------------------
336
      ck_i      : in  std_logic;
337
      ck_en_i   : in  boolean;
338
      por_i     : in  boolean;
339
      icyc_en_i : in  boolean;
340
      -- Skip Interface -------------------------------------------------------
341
      op_i      : in  skip_op_t;
342
      c_o       : out boolean
343
    );
344
  end component;
345
 
346 2 arniml
end t400_comp_pack;
347
 
348
 
349
-------------------------------------------------------------------------------
350
-- File History:
351
--
352
-- $Log: not supported by cvs2svn $
353 49 arniml
-- Revision 1.3  2006/05/21 21:47:40  arniml
354
-- route cko to ALU for INIL instruction
355
--
356 43 arniml
-- Revision 1.2  2006/05/20 02:48:17  arniml
357
-- timer module included
358
--
359 37 arniml
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
360
-- import from local CVS repository, LOC_CVS_0_1
361
--
362 2 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.