OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_comp_pack-p.vhd] - Blame information for rev 68

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3 68 arniml
-- $Id: t400_comp_pack-p.vhd,v 1.5 2006-05-27 19:11:33 arniml Exp $
4 2 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t400_opt_pack.all;
15
use work.t400_pack.all;
16
 
17
package t400_comp_pack is
18
 
19
  component t400_clkgen
20
    generic (
21
      opt_ck_div_g : integer := t400_opt_ck_div_16_c
22
    );
23
    port (
24
      -- System Interface -----------------------------------------------------
25
      ck_i      : in  std_logic;
26
      ck_en_i   : in  boolean;
27
      por_i     : in  boolean;
28
      -- Clock Interface ------------------------------------------------------
29
      phi1_o    : out std_logic;
30
      out_en_o  : out boolean;
31
      in_en_o   : out boolean;
32
      icyc_en_o : out boolean
33
    );
34
  end component;
35
 
36
  component t400_reset
37
    port (
38
      -- System Interface -----------------------------------------------------
39
      ck_i      : in  std_logic;
40
      icyc_en_i : in  boolean;
41
      -- Reset Interface ------------------------------------------------------
42
      por_i     : in  boolean;
43
      reset_n_i : in  std_logic;
44
      res_o     : out boolean
45
    );
46
  end component;
47
 
48
  component t400_stack
49
    generic (
50
      opt_type_g : integer := t400_opt_type_420_c
51
    );
52
    port (
53
      -- System Interface -----------------------------------------------------
54
      ck_i    : in  std_logic;
55
      ck_en_i : in  boolean;
56
      por_i   : in  boolean;
57
      -- Stack Control Interface ----------------------------------------------
58
      op_i    : in  stack_op_t;
59
      -- Program Counter Interface --------------------------------------------
60
      pc_i    : in  pc_t;
61
      pc_o    : out pc_t
62
    );
63
  end component;
64
 
65
  component t400_pmem_ctrl
66
    generic (
67
      opt_type_g : integer := t400_opt_type_420_c
68
    );
69
    port (
70
      -- System Interface -----------------------------------------------------
71
      ck_i       : in  std_logic;
72
      ck_en_i    : in  boolean;
73
      por_i      : in  boolean;
74
      res_i      : in  boolean;
75
      a_i        : in  dw_t;
76
      m_i        : in  dw_t;
77
      -- Control Interface ----------------------------------------------------
78
      op_i       : in  pc_op_t;
79
      dec_data_i : in  dec_data_t;
80
      -- Stack Interface ------------------------------------------------------
81
      pc_o       : out pc_t;
82
      pc_i       : in  pc_t;
83
      -- Program Memory Interface ---------------------------------------------
84
      pm_addr_o  : out pc_t
85
    );
86
  end component;
87
 
88
  component t400_alu
89 43 arniml
    generic (
90
      opt_cko_g : integer := t400_opt_cko_crystal_c
91
    );
92 2 arniml
    port (
93
      -- System Interface -----------------------------------------------------
94
      ck_i       : in  std_logic;
95
      ck_en_i    : in  boolean;
96
      por_i      : in  boolean;
97
      res_i      : in  boolean;
98 43 arniml
      cko_i      : in  std_logic;
99 2 arniml
      -- Control Interface ----------------------------------------------------
100
      op_i       : in  alu_op_t;
101
      -- Data Interface -------------------------------------------------------
102
      m_i        : in  dw_t;
103
      dec_data_i : in  dec_data_t;
104
      q_low_i    : in  dw_t;
105
      b_i        : in  b_t;
106
      g_i        : in  dw_t;
107
      in_i       : in  dw_t;
108
      sio_i      : in  dw_t;
109
      a_o        : out dw_t;
110
      carry_o    : out std_logic;
111
      c_o        : out std_logic
112
    );
113
  end component;
114
 
115
  component t400_dmem_ctrl
116
    generic (
117
      opt_type_g : integer := t400_opt_type_420_c
118
    );
119
    port (
120
      -- System Interface -----------------------------------------------------
121
      ck_i       : in  std_logic;
122
      ck_en_i    : in  boolean;
123
      por_i      : in  boolean;
124
      res_i      : in  boolean;
125
      -- Control Interface ----------------------------------------------------
126
      dmem_op_i  : in  dmem_op_t;
127
      b_op_i     : in  b_op_t;
128
      dec_data_i : in  dec_data_t;
129
      a_i        : in  dw_t;
130
      q_high_i   : in  dw_t;
131
      b_o        : out b_t;
132
      -- Data Memory Interface ------------------------------------------------
133
      dm_addr_o  : out dm_addr_t;
134
      dm_data_i  : in  dw_t;
135
      dm_data_o  : out dw_t;
136
      dm_we_o    : out std_logic
137
    );
138
  end component;
139
 
140
  component t400_opc_table
141
    generic (
142
      opt_type_g   : integer := t400_opt_type_420_c
143
    );
144
    port (
145
      opcode_i     : in  byte_t;
146
      mnemonic_o   : out mnemonic_t;
147
      multi_byte_o : out boolean
148
    );
149
  end component;
150
 
151
  component t400_decoder
152
    generic (
153
      opt_type_g : integer := t400_opt_type_420_c
154
    );
155
    port (
156
      ck_i       : in  std_logic;
157
      ck_en_i    : in  boolean;
158
      por_i      : in  boolean;
159
      res_i      : in  boolean;
160
      out_en_i   : in  boolean;
161
      in_en_i    : in  boolean;
162
      icyc_en_i  : in  boolean;
163
      pc_op_o    : out pc_op_t;
164
      stack_op_o : out stack_op_t;
165
      dmem_op_o  : out dmem_op_t;
166
      b_op_o     : out b_op_t;
167
      skip_op_o  : out skip_op_t;
168
      alu_op_o   : out alu_op_t;
169
      io_l_op_o  : out io_l_op_t;
170
      io_d_op_o  : out io_d_op_t;
171
      io_g_op_o  : out io_g_op_t;
172 49 arniml
      io_in_op_o : out io_in_op_t;
173 2 arniml
      sio_op_o   : out sio_op_t;
174
      dec_data_o : out dec_data_t;
175
      is_lbi_o   : out boolean;
176
      en_o       : out dw_t;
177
      skip_i     : in  boolean;
178
      skip_lbi_i : in  boolean;
179 68 arniml
      int_i      : in  boolean;
180 2 arniml
      pm_addr_i  : in  pc_t;
181
      pm_data_i  : in  byte_t
182
    );
183
  end component;
184
 
185
  component t400_skip
186 68 arniml
    generic (
187
      opt_type_g : integer := t400_opt_type_420_c
188
    );
189 2 arniml
    port (
190
      ck_i       : in  std_logic;
191
      ck_en_i    : in  boolean;
192
      por_i      : in  boolean;
193
      res_i      : in  boolean;
194
      op_i       : in  skip_op_t;
195
      dec_data_i : in  dec_data_t;
196
      carry_i    : in  std_logic;
197
      c_i        : in  std_logic;
198
      bd_i       : in  dw_t;
199
      is_lbi_i   : in  boolean;
200
      a_i        : in  dw_t;
201
      m_i        : in  dw_t;
202
      g_i        : in  dw_t;
203 37 arniml
      tim_c_i    : in  boolean;
204 2 arniml
      skip_o     : out boolean;
205
      skip_lbi_o : out boolean
206
    );
207
  end component;
208
 
209
  component t400_io_l
210
    generic (
211
      opt_out_type_7_g : integer := t400_opt_out_type_std_c;
212
      opt_out_type_6_g : integer := t400_opt_out_type_std_c;
213
      opt_out_type_5_g : integer := t400_opt_out_type_std_c;
214
      opt_out_type_4_g : integer := t400_opt_out_type_std_c;
215
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
216
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
217
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
218
      opt_out_type_0_g : integer := t400_opt_out_type_std_c;
219
      opt_microbus_g   : integer := t400_opt_no_microbus_c
220
    );
221
    port (
222
      -- System Interface -----------------------------------------------------
223
      ck_i      : in  std_logic;
224
      ck_en_i   : in  boolean;
225
      por_i     : in  boolean;
226
      -- Control Interface ----------------------------------------------------
227
      op_i      : in  io_l_op_t;
228
      en2_i     : in  std_logic;
229
      m_i       : in  dw_t;
230
      a_i       : in  dw_t;
231
      pm_data_i : in  byte_t;
232
      q_o       : out byte_t;
233
      -- Microbus Interface ---------------------------------------------------
234
      cs_n_i    : in  std_logic;
235
      rd_n_i    : in  std_logic;
236
      wr_n_i    : in  std_logic;
237
      -- Port L Interface -----------------------------------------------------
238
      io_l_i    : in  byte_t;
239
      io_l_o    : out byte_t;
240
      io_l_en_o : out byte_t
241
    );
242
  end component;
243
 
244
  component t400_io_d
245
    generic (
246
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
247
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
248
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
249
      opt_out_type_0_g : integer := t400_opt_out_type_std_c
250
    );
251
    port (
252
      -- System Interface -----------------------------------------------------
253
      ck_i      : in  std_logic;
254
      ck_en_i   : in  boolean;
255
      por_i     : in  boolean;
256
      res_i     : in  boolean;
257
      -- Control Interface ----------------------------------------------------
258
      op_i      : in  io_d_op_t;
259
      bd_i      : in  bd_t;
260
      -- Port D Interface -----------------------------------------------------
261
      io_d_o    : out dw_t;
262
      io_d_en_o : out dw_t
263
    );
264
  end component;
265
 
266
  component t400_io_g
267
    generic (
268
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
269
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
270
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
271
      opt_out_type_0_g : integer := t400_opt_out_type_std_c
272
    );
273
    port (
274
      -- System Interface -----------------------------------------------------
275
      ck_i       : in  std_logic;
276
      ck_en_i    : in  boolean;
277
      por_i      : in  boolean;
278
      res_i      : in  boolean;
279
      -- Control Interface ----------------------------------------------------
280
      op_i       : in  io_g_op_t;
281
      m_i        : in  dw_t;
282
      dec_data_i : in  dec_data_t;
283
      -- Port G Interface -----------------------------------------------------
284
      io_g_o     : out dw_t;
285
      io_g_en_o  : out dw_t
286
    );
287
  end component;
288
 
289 49 arniml
  component t400_io_in
290
    port (
291
      -- System Interface -----------------------------------------------------
292 68 arniml
      ck_i      : in  std_logic;
293
      ck_en_i   : in  boolean;
294
      por_i     : in  boolean;
295
      icyc_en_i : in boolean;
296
      in_en_i   : in  boolean;
297 49 arniml
      -- Control Interface ----------------------------------------------------
298 68 arniml
      op_i      : in  io_in_op_t;
299
      en1_i     : in  std_logic;
300 49 arniml
      -- Port Interface -------------------------------------------------------
301 68 arniml
      io_in_i   : in  dw_t;
302
      in_o      : out dw_t;
303
      int_o     : out boolean
304 49 arniml
    );
305
  end component;
306
 
307 2 arniml
  component t400_sio
308
    generic (
309
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
310
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
311
    );
312
    port (
313
      -- System Interface -----------------------------------------------------
314
      ck_i       : in  std_logic;
315
      ck_en_i    : in  boolean;
316
      por_i      : in  boolean;
317
      res_i      : in  boolean;
318
      phi1_i     : in  std_logic;
319
      out_en_i   : in  boolean;
320
      in_en_i    : in  boolean;
321
      -- Control Interface ----------------------------------------------------
322
      op_i       : in  sio_op_t;
323
      en0_i      : in  std_logic;
324
      en3_i      : in  std_logic;
325
      -- SIO Interface --------------------------------------------------------
326
      a_i        : in  dw_t;
327
      c_i        : in  std_logic;
328
      sio_o      : out dw_t;
329
      -- Pad Interface --------------------------------------------------------
330
      si_i       : in  std_logic;
331
      so_o       : out std_logic;
332
      so_en_o    : out std_logic;
333
      sk_o       : out std_logic;
334
      sk_en_o    : out std_logic
335
    );
336
  end component;
337
 
338 37 arniml
  component t400_timer
339
    port (
340
      -- System Interface -----------------------------------------------------
341
      ck_i      : in  std_logic;
342
      ck_en_i   : in  boolean;
343
      por_i     : in  boolean;
344
      icyc_en_i : in  boolean;
345
      -- Skip Interface -------------------------------------------------------
346
      op_i      : in  skip_op_t;
347
      c_o       : out boolean
348
    );
349
  end component;
350
 
351 2 arniml
end t400_comp_pack;
352
 
353
 
354
-------------------------------------------------------------------------------
355
-- File History:
356
--
357
-- $Log: not supported by cvs2svn $
358 68 arniml
-- Revision 1.4  2006/05/22 00:03:08  arniml
359
-- io_in added
360
--
361 49 arniml
-- Revision 1.3  2006/05/21 21:47:40  arniml
362
-- route cko to ALU for INIL instruction
363
--
364 43 arniml
-- Revision 1.2  2006/05/20 02:48:17  arniml
365
-- timer module included
366
--
367 37 arniml
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
368
-- import from local CVS repository, LOC_CVS_0_1
369
--
370 2 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.