OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_core.vhd] - Blame information for rev 37

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3
-- T400 Microcontroller Core
4
--
5 37 arniml
-- $Id: t400_core.vhd,v 1.2 2006-05-20 02:48:17 arniml Exp $
6 2 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-- Limitations :
45
-- =============
46
--
47
-- Compared to the original COP400 architecture, the following limitations
48
-- apply:
49
--
50
--   * Features of the COP42x devices are either not yet implemented or
51
--     not verified.
52
--
53
-------------------------------------------------------------------------------
54
 
55
library ieee;
56
use ieee.std_logic_1164.all;
57
 
58
use work.t400_opt_pack.all;
59
 
60
entity t400_core is
61
 
62
  generic (
63
    opt_type_g           : integer := t400_opt_type_420_c;
64
    opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
65
    opt_cko_g            : integer := t400_opt_cko_crystal_c;
66
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
67
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
68
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
69
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
70
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
71
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
72
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
73
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
74
    opt_microbus_g       : integer := t400_opt_no_microbus_c;
75
    opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
76
    opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
77
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
78
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
79
    opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
80
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
81
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
82
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
83
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
84
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
85
  );
86
  port (
87
    ck_i      : in  std_logic;
88
    ck_en_i   : in  std_logic;
89
    por_n_i   : in  std_logic;
90
    reset_n_i : in  std_logic;
91
    cko_i     : in  std_logic;
92
    pm_addr_o : out std_logic_vector(9 downto 0);
93
    pm_data_i : in  std_logic_vector(7 downto 0);
94
    dm_addr_o : out std_logic_vector(5 downto 0);
95
    dm_we_o   : out std_logic;
96
    dm_data_o : out std_logic_vector(3 downto 0);
97
    dm_data_i : in  std_logic_vector(3 downto 0);
98
    io_l_i    : in  std_logic_vector(7 downto 0);
99
    io_l_o    : out std_logic_vector(7 downto 0);
100
    io_l_en_o : out std_logic_vector(7 downto 0);
101
    io_d_o    : out std_logic_vector(3 downto 0);
102
    io_d_en_o : out std_logic_vector(3 downto 0);
103
    io_g_i    : in  std_logic_vector(3 downto 0);
104
    io_g_o    : out std_logic_vector(3 downto 0);
105
    io_g_en_o : out std_logic_vector(3 downto 0);
106
    io_in_i   : in  std_logic_vector(3 downto 0);
107
    si_i      : in  std_logic;
108
    so_o      : out std_logic;
109
    so_en_o   : out std_logic;
110
    sk_o      : out std_logic;
111
    sk_en_o   : out std_logic
112
  );
113
 
114
end t400_core;
115
 
116
 
117
use work.t400_pack.all;
118
use work.t400_comp_pack.all;
119
 
120
architecture struct of t400_core is
121
 
122
  signal ck_en_s         : boolean;
123
  signal por_s           : boolean;
124
  signal res_s           : boolean;
125
 
126
  signal phi1_s          : std_logic;
127
  signal out_en_s        : boolean;
128
  signal in_en_s         : boolean;
129
  signal icyc_en_s       : boolean;
130
 
131
  signal pm_addr_s       : pc_t;
132
 
133
  signal a_s             : dw_t;
134
  signal dec_data_s      : dec_data_t;
135
 
136
  signal pc_to_stack_s,
137
         pc_from_stack_s : pc_t;
138
 
139
  signal q_s             : byte_t;
140
  signal b_s             : b_t;
141
 
142
  signal c_s,
143
         carry_s         : std_logic;
144
 
145
  signal sio_s           : dw_t;
146
 
147
  signal pc_op_s         : pc_op_t;
148
  signal stack_op_s      : stack_op_t;
149
  signal dmem_op_s       : dmem_op_t;
150
  signal b_op_s          : b_op_t;
151
  signal skip_op_s       : skip_op_t;
152
  signal alu_op_s        : alu_op_t;
153
  signal io_l_op_s       : io_l_op_t;
154
  signal io_d_op_s       : io_d_op_t;
155
  signal io_g_op_s       : io_g_op_t;
156
  signal sio_op_s        : sio_op_t;
157
  signal is_lbi_s        : boolean;
158
  signal en_s            : dw_t;
159
 
160
  signal skip_s,
161
         skip_lbi_s      : boolean;
162 37 arniml
  signal tim_c_s         : boolean;
163 2 arniml
 
164
  signal in_s,
165
         il_s            : dw_t;
166
 
167
  signal vdd_s  : std_logic;
168
  signal gnd4_s : dw_t;
169
 
170
begin
171
 
172
  -- dummies
173
  vdd_s  <= '1';
174
  gnd4_s <= (others => '0');
175
  in_s   <= (others => '0');
176
  il_s   <= (others => '0');
177
 
178
  ck_en_s <= ck_en_i = '1';
179
  por_s   <= por_n_i  = '0';
180
 
181
  -----------------------------------------------------------------------------
182
  -- Clock generator
183
  -----------------------------------------------------------------------------
184
  clkgen_b : t400_clkgen
185
    generic map (
186
      opt_ck_div_g => opt_ck_div_g
187
    )
188
    port map (
189
      ck_i      => ck_i,
190
      ck_en_i   => ck_en_s,
191
      por_i     => por_s,
192
      phi1_o    => phi1_s,
193
      out_en_o  => out_en_s,
194
      in_en_o   => in_en_s,
195
      icyc_en_o => icyc_en_s
196
    );
197
 
198
 
199
  -----------------------------------------------------------------------------
200
  -- Reset module
201
  -----------------------------------------------------------------------------
202
  reset_b : t400_reset
203
    port map (
204
      ck_i      => ck_i,
205
      icyc_en_i => icyc_en_s,
206
      por_i     => por_s,
207
      reset_n_i => reset_n_i,
208
      res_o     => res_s
209
    );
210
 
211
 
212
  -----------------------------------------------------------------------------
213
  -- Program memory controller
214
  -----------------------------------------------------------------------------
215
  pmem_ctrl_b : t400_pmem_ctrl
216
    generic map (
217
      opt_type_g => opt_type_g
218
    )
219
    port map (
220
      ck_i       => ck_i,
221
      ck_en_i    => ck_en_s,
222
      por_i      => por_s,
223
      res_i      => res_s,
224
      a_i        => a_s,
225
      m_i        => dm_data_i,
226
      op_i       => pc_op_s,
227
      dec_data_i => dec_data_s,
228
      pc_o       => pc_to_stack_s,
229
      pc_i       => pc_from_stack_s,
230
      pm_addr_o  => pm_addr_s
231
    );
232
  --
233
  pm_addr_o <= std_logic_vector(pm_addr_s);
234
 
235
 
236
  -----------------------------------------------------------------------------
237
  -- Data memory controller
238
  -----------------------------------------------------------------------------
239
  dmem_ctrl_b : t400_dmem_ctrl
240
    generic map (
241
      opt_type_g => opt_type_g
242
    )
243
    port map (
244
      ck_i       => ck_i,
245
      ck_en_i    => ck_en_s,
246
      por_i      => por_s,
247
      res_i      => res_s,
248
      dmem_op_i  => dmem_op_s,
249
      b_op_i     => b_op_s,
250
      dec_data_i => dec_data_s,
251
      a_i        => a_s,
252
      q_high_i   => q_s(7 downto 4),
253
      b_o        => b_s,
254
      dm_addr_o  => dm_addr_o,
255
      dm_data_i  => dm_data_i,
256
      dm_data_o  => dm_data_o,
257
      dm_we_o    => dm_we_o
258
    );
259
 
260
 
261
  -----------------------------------------------------------------------------
262
  -- Decoder
263
  -----------------------------------------------------------------------------
264
  decoder_b : t400_decoder
265
    generic map (
266
      opt_type_g => opt_type_g
267
    )
268
    port map (
269
      ck_i       => ck_i,
270
      ck_en_i    => ck_en_s,
271
      por_i      => por_s,
272
      res_i      => res_s,
273
      out_en_i   => out_en_s,
274
      in_en_i    => in_en_s,
275
      icyc_en_i  => icyc_en_s,
276
      pc_op_o    => pc_op_s,
277
      stack_op_o => stack_op_s,
278
      dmem_op_o  => dmem_op_s,
279
      b_op_o     => b_op_s,
280
      skip_op_o  => skip_op_s,
281
      alu_op_o   => alu_op_s,
282
      io_l_op_o  => io_l_op_s,
283
      io_d_op_o  => io_d_op_s,
284
      io_g_op_o  => io_g_op_s,
285
      sio_op_o   => sio_op_s,
286
      dec_data_o => dec_data_s,
287
      is_lbi_o   => is_lbi_s,
288
      en_o       => en_s,
289
      skip_i     => skip_s,
290
      skip_lbi_i => skip_lbi_s,
291
      pm_addr_i  => pm_addr_s,
292
      pm_data_i  => pm_data_i
293
    );
294
 
295
 
296
  -----------------------------------------------------------------------------
297
  -- Skip logic
298
  -----------------------------------------------------------------------------
299
  skip_b : t400_skip
300
    port map (
301
      ck_i       => ck_i,
302
      ck_en_i    => ck_en_s,
303
      por_i      => por_s,
304
      res_i      => res_s,
305
      op_i       => skip_op_s,
306
      dec_data_i => dec_data_s,
307
      carry_i    => carry_s,
308
      c_i        => c_s,
309
      bd_i       => b_s(bd_range_t),
310
      is_lbi_i   => is_lbi_s,
311
      a_i        => a_s,
312
      m_i        => dm_data_i,
313
      g_i        => io_g_i,
314 37 arniml
      tim_c_i    => tim_c_s,
315 2 arniml
      skip_o     => skip_s,
316
      skip_lbi_o => skip_lbi_s
317
    );
318
 
319
 
320
  -----------------------------------------------------------------------------
321
  -- ALU
322
  -----------------------------------------------------------------------------
323
  alu_b : t400_alu
324
    port map (
325
      ck_i       => ck_i,
326
      ck_en_i    => ck_en_s,
327
      por_i      => por_s,
328
      res_i      => res_s,
329
      op_i       => alu_op_s,
330
      m_i        => dm_data_i,
331
      dec_data_i => dec_data_s,
332
      q_low_i    => q_s(3 downto 0),
333
      b_i        => b_s,
334
      g_i        => io_g_i,
335
      in_i       => in_s,
336
      il_i       => il_s,
337
      sio_i      => sio_s,
338
      a_o        => a_s,
339
      carry_o    => carry_s,
340
      c_o        => c_s
341
    );
342
 
343
 
344
  -----------------------------------------------------------------------------
345
  -- Stack module
346
  -----------------------------------------------------------------------------
347
  stack_b : t400_stack
348
    generic map (
349
      opt_type_g => opt_type_g
350
    )
351
    port map (
352
      ck_i    => ck_i,
353
      ck_en_i => ck_en_s,
354
      por_i   => por_s,
355
      op_i    => stack_op_s,
356
      pc_i    => pc_to_stack_s,
357
      pc_o    => pc_from_stack_s
358
    );
359
 
360
 
361
  -----------------------------------------------------------------------------
362
  -- IO L module
363
  -----------------------------------------------------------------------------
364
  io_l_b : t400_io_l
365
    generic map (
366
      opt_out_type_7_g => opt_l_out_type_7_g,
367
      opt_out_type_6_g => opt_l_out_type_6_g,
368
      opt_out_type_5_g => opt_l_out_type_5_g,
369
      opt_out_type_4_g => opt_l_out_type_4_g,
370
      opt_out_type_3_g => opt_l_out_type_3_g,
371
      opt_out_type_2_g => opt_l_out_type_2_g,
372
      opt_out_type_1_g => opt_l_out_type_1_g,
373
      opt_out_type_0_g => opt_l_out_type_0_g,
374
      opt_microbus_g   => opt_microbus_g
375
    )
376
    port map (
377
      ck_i      => ck_i,
378
      ck_en_i   => ck_en_s,
379
      por_i     => por_s,
380
      op_i      => io_l_op_s,
381
      en2_i     => en_s(2),
382
      m_i       => dm_data_i,
383
      a_i       => a_s,
384
      pm_data_i => pm_data_i,
385
      q_o       => q_s,
386
      cs_n_i    => vdd_s,
387
      rd_n_i    => vdd_s,
388
      wr_n_i    => vdd_s,
389
      io_l_i    => io_l_i,
390
      io_l_o    => io_l_o,
391
      io_l_en_o => io_l_en_o
392
    );
393
 
394
 
395
  -----------------------------------------------------------------------------
396
  -- IO D module
397
  -----------------------------------------------------------------------------
398
  io_d_b : t400_io_d
399
    generic map (
400
      opt_out_type_3_g => opt_d_out_type_3_g,
401
      opt_out_type_2_g => opt_d_out_type_2_g,
402
      opt_out_type_1_g => opt_d_out_type_1_g,
403
      opt_out_type_0_g => opt_d_out_type_0_g
404
    )
405
    port map (
406
      ck_i      => ck_i,
407
      ck_en_i   => ck_en_s,
408
      por_i     => por_s,
409
      res_i     => res_s,
410
      op_i      => io_d_op_s,
411
      bd_i      => b_s(bd_range_t),
412
      io_d_o    => io_d_o,
413
      io_d_en_o => io_d_en_o
414
    );
415
 
416
 
417
  -----------------------------------------------------------------------------
418
  -- IO G modle
419
  -----------------------------------------------------------------------------
420
  io_g_b : t400_io_g
421
    generic map (
422
      opt_out_type_3_g => opt_g_out_type_3_g,
423
      opt_out_type_2_g => opt_g_out_type_2_g,
424
      opt_out_type_1_g => opt_g_out_type_1_g,
425
      opt_out_type_0_g => opt_g_out_type_0_g
426
    )
427
    port map (
428
      ck_i       => ck_i,
429
      ck_en_i    => ck_en_s,
430
      por_i      => por_s,
431
      res_i      => res_s,
432
      op_i       => io_g_op_s,
433
      m_i        => dm_data_i,
434
      dec_data_i => dec_data_s,
435
      io_g_o     => io_g_o,
436
      io_g_en_o  => io_g_en_o
437
    );
438
 
439
 
440
  -----------------------------------------------------------------------------
441
  -- SIO module
442
  -----------------------------------------------------------------------------
443
  sio_b : t400_sio
444
    generic map (
445
      opt_so_output_type_g => opt_so_output_type_g,
446
      opt_sk_output_type_g => opt_sk_output_type_g
447
    )
448
    port map (
449
      ck_i       => ck_i,
450
      ck_en_i    => ck_en_s,
451
      por_i      => por_s,
452
      res_i      => res_s,
453
      phi1_i     => phi1_s,
454
      out_en_i   => out_en_s,
455
      in_en_i    => in_en_s,
456
      op_i       => sio_op_s,
457
      en0_i      => en_s(0),
458
      en3_i      => en_s(3),
459
      a_i        => a_s,
460
      c_i        => c_s,
461
      sio_o      => sio_s,
462
      si_i       => si_i,
463
      so_o       => so_o,
464
      so_en_o    => so_en_o,
465
      sk_o       => sk_o,
466
      sk_en_o    => sk_en_o
467
    );
468
 
469 37 arniml
 
470
  -----------------------------------------------------------------------------
471
  -- Timer module
472
  -----------------------------------------------------------------------------
473
  tim: if opt_type_g = t400_opt_type_420_c generate
474
    timer_b : t400_timer
475
      port map (
476
        ck_i      => ck_i,
477
        ck_en_i   => ck_en_s,
478
        por_i     => por_s,
479
        icyc_en_i => icyc_en_s,
480
        op_i      => skip_op_s,
481
        c_o       => tim_c_s
482
      );
483
  end generate;
484
 
485
  notim: if opt_type_g /= t400_opt_type_420_c generate
486
    tim_c_s <= false;
487
  end generate;
488
 
489 2 arniml
end struct;
490
 
491
 
492
-------------------------------------------------------------------------------
493
-- File History:
494
--
495
-- $Log: not supported by cvs2svn $
496 37 arniml
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
497
-- import from local CVS repository, LOC_CVS_0_1
498
--
499 2 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.