OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_core.vhd] - Blame information for rev 53

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3
-- T400 Microcontroller Core
4
--
5 53 arniml
-- $Id: t400_core.vhd,v 1.5 2006-05-23 01:13:56 arniml Exp $
6 2 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-- Limitations :
45
-- =============
46
--
47
-- Compared to the original COP400 architecture, the following limitations
48
-- apply:
49
--
50
--   * Features of the COP42x devices are either not yet implemented or
51
--     not verified.
52
--
53
-------------------------------------------------------------------------------
54
 
55
library ieee;
56
use ieee.std_logic_1164.all;
57
 
58
use work.t400_opt_pack.all;
59
 
60
entity t400_core is
61
 
62
  generic (
63
    opt_type_g           : integer := t400_opt_type_420_c;
64
    opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
65
    opt_cko_g            : integer := t400_opt_cko_crystal_c;
66
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
67
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
68
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
69
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
70
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
71
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
72
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
73
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
74
    opt_microbus_g       : integer := t400_opt_no_microbus_c;
75
    opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
76
    opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
77
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
78
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
79
    opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
80
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
81
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
82
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
83
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
84
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
85
  );
86
  port (
87
    ck_i      : in  std_logic;
88
    ck_en_i   : in  std_logic;
89
    por_n_i   : in  std_logic;
90
    reset_n_i : in  std_logic;
91
    cko_i     : in  std_logic;
92
    pm_addr_o : out std_logic_vector(9 downto 0);
93
    pm_data_i : in  std_logic_vector(7 downto 0);
94
    dm_addr_o : out std_logic_vector(5 downto 0);
95
    dm_we_o   : out std_logic;
96
    dm_data_o : out std_logic_vector(3 downto 0);
97
    dm_data_i : in  std_logic_vector(3 downto 0);
98
    io_l_i    : in  std_logic_vector(7 downto 0);
99
    io_l_o    : out std_logic_vector(7 downto 0);
100
    io_l_en_o : out std_logic_vector(7 downto 0);
101
    io_d_o    : out std_logic_vector(3 downto 0);
102
    io_d_en_o : out std_logic_vector(3 downto 0);
103
    io_g_i    : in  std_logic_vector(3 downto 0);
104
    io_g_o    : out std_logic_vector(3 downto 0);
105
    io_g_en_o : out std_logic_vector(3 downto 0);
106
    io_in_i   : in  std_logic_vector(3 downto 0);
107
    si_i      : in  std_logic;
108
    so_o      : out std_logic;
109
    so_en_o   : out std_logic;
110
    sk_o      : out std_logic;
111
    sk_en_o   : out std_logic
112
  );
113
 
114
end t400_core;
115
 
116
 
117
use work.t400_pack.all;
118
use work.t400_comp_pack.all;
119
 
120
architecture struct of t400_core is
121
 
122
  signal ck_en_s         : boolean;
123
  signal por_s           : boolean;
124
  signal res_s           : boolean;
125
 
126
  signal phi1_s          : std_logic;
127
  signal out_en_s        : boolean;
128
  signal in_en_s         : boolean;
129
  signal icyc_en_s       : boolean;
130
 
131
  signal pm_addr_s       : pc_t;
132
 
133
  signal a_s             : dw_t;
134
  signal dec_data_s      : dec_data_t;
135
 
136
  signal pc_to_stack_s,
137
         pc_from_stack_s : pc_t;
138
 
139
  signal q_s             : byte_t;
140
  signal b_s             : b_t;
141
 
142
  signal c_s,
143
         carry_s         : std_logic;
144
 
145
  signal sio_s           : dw_t;
146
 
147
  signal pc_op_s         : pc_op_t;
148
  signal stack_op_s      : stack_op_t;
149
  signal dmem_op_s       : dmem_op_t;
150
  signal b_op_s          : b_op_t;
151
  signal skip_op_s       : skip_op_t;
152
  signal alu_op_s        : alu_op_t;
153
  signal io_l_op_s       : io_l_op_t;
154
  signal io_d_op_s       : io_d_op_t;
155
  signal io_g_op_s       : io_g_op_t;
156 49 arniml
  signal io_in_op_s      : io_in_op_t;
157 2 arniml
  signal sio_op_s        : sio_op_t;
158
  signal is_lbi_s        : boolean;
159
  signal en_s            : dw_t;
160
 
161
  signal skip_s,
162
         skip_lbi_s      : boolean;
163 37 arniml
  signal tim_c_s         : boolean;
164 2 arniml
 
165 49 arniml
  signal in_s            : dw_t;
166 2 arniml
 
167 53 arniml
  signal io_g_s          : std_logic_vector(io_g_i'range);
168
 
169 2 arniml
  signal vdd_s  : std_logic;
170
  signal gnd4_s : dw_t;
171
 
172
begin
173
 
174
  -- dummies
175
  vdd_s  <= '1';
176
  gnd4_s <= (others => '0');
177
 
178
  ck_en_s <= ck_en_i = '1';
179 49 arniml
  por_s   <= por_n_i = '0';
180 2 arniml
 
181 53 arniml
  io_g_s  <= to_X01(io_g_i);
182
 
183 2 arniml
  -----------------------------------------------------------------------------
184
  -- Clock generator
185
  -----------------------------------------------------------------------------
186
  clkgen_b : t400_clkgen
187
    generic map (
188
      opt_ck_div_g => opt_ck_div_g
189
    )
190
    port map (
191
      ck_i      => ck_i,
192
      ck_en_i   => ck_en_s,
193
      por_i     => por_s,
194
      phi1_o    => phi1_s,
195
      out_en_o  => out_en_s,
196
      in_en_o   => in_en_s,
197
      icyc_en_o => icyc_en_s
198
    );
199
 
200
 
201
  -----------------------------------------------------------------------------
202
  -- Reset module
203
  -----------------------------------------------------------------------------
204
  reset_b : t400_reset
205
    port map (
206
      ck_i      => ck_i,
207
      icyc_en_i => icyc_en_s,
208
      por_i     => por_s,
209
      reset_n_i => reset_n_i,
210
      res_o     => res_s
211
    );
212
 
213
 
214
  -----------------------------------------------------------------------------
215
  -- Program memory controller
216
  -----------------------------------------------------------------------------
217
  pmem_ctrl_b : t400_pmem_ctrl
218
    generic map (
219
      opt_type_g => opt_type_g
220
    )
221
    port map (
222
      ck_i       => ck_i,
223
      ck_en_i    => ck_en_s,
224
      por_i      => por_s,
225
      res_i      => res_s,
226
      a_i        => a_s,
227
      m_i        => dm_data_i,
228
      op_i       => pc_op_s,
229
      dec_data_i => dec_data_s,
230
      pc_o       => pc_to_stack_s,
231
      pc_i       => pc_from_stack_s,
232
      pm_addr_o  => pm_addr_s
233
    );
234
  --
235
  pm_addr_o <= std_logic_vector(pm_addr_s);
236
 
237
 
238
  -----------------------------------------------------------------------------
239
  -- Data memory controller
240
  -----------------------------------------------------------------------------
241
  dmem_ctrl_b : t400_dmem_ctrl
242
    generic map (
243
      opt_type_g => opt_type_g
244
    )
245
    port map (
246
      ck_i       => ck_i,
247
      ck_en_i    => ck_en_s,
248
      por_i      => por_s,
249
      res_i      => res_s,
250
      dmem_op_i  => dmem_op_s,
251
      b_op_i     => b_op_s,
252
      dec_data_i => dec_data_s,
253
      a_i        => a_s,
254
      q_high_i   => q_s(7 downto 4),
255
      b_o        => b_s,
256
      dm_addr_o  => dm_addr_o,
257
      dm_data_i  => dm_data_i,
258
      dm_data_o  => dm_data_o,
259
      dm_we_o    => dm_we_o
260
    );
261
 
262
 
263
  -----------------------------------------------------------------------------
264
  -- Decoder
265
  -----------------------------------------------------------------------------
266
  decoder_b : t400_decoder
267
    generic map (
268
      opt_type_g => opt_type_g
269
    )
270
    port map (
271
      ck_i       => ck_i,
272
      ck_en_i    => ck_en_s,
273
      por_i      => por_s,
274
      res_i      => res_s,
275
      out_en_i   => out_en_s,
276
      in_en_i    => in_en_s,
277
      icyc_en_i  => icyc_en_s,
278
      pc_op_o    => pc_op_s,
279
      stack_op_o => stack_op_s,
280
      dmem_op_o  => dmem_op_s,
281
      b_op_o     => b_op_s,
282
      skip_op_o  => skip_op_s,
283
      alu_op_o   => alu_op_s,
284
      io_l_op_o  => io_l_op_s,
285
      io_d_op_o  => io_d_op_s,
286
      io_g_op_o  => io_g_op_s,
287 49 arniml
      io_in_op_o => io_in_op_s,
288 2 arniml
      sio_op_o   => sio_op_s,
289
      dec_data_o => dec_data_s,
290
      is_lbi_o   => is_lbi_s,
291
      en_o       => en_s,
292
      skip_i     => skip_s,
293
      skip_lbi_i => skip_lbi_s,
294
      pm_addr_i  => pm_addr_s,
295
      pm_data_i  => pm_data_i
296
    );
297
 
298
 
299
  -----------------------------------------------------------------------------
300
  -- Skip logic
301
  -----------------------------------------------------------------------------
302
  skip_b : t400_skip
303
    port map (
304
      ck_i       => ck_i,
305
      ck_en_i    => ck_en_s,
306
      por_i      => por_s,
307
      res_i      => res_s,
308
      op_i       => skip_op_s,
309
      dec_data_i => dec_data_s,
310
      carry_i    => carry_s,
311
      c_i        => c_s,
312
      bd_i       => b_s(bd_range_t),
313
      is_lbi_i   => is_lbi_s,
314
      a_i        => a_s,
315
      m_i        => dm_data_i,
316 53 arniml
      g_i        => io_g_s,
317 37 arniml
      tim_c_i    => tim_c_s,
318 2 arniml
      skip_o     => skip_s,
319
      skip_lbi_o => skip_lbi_s
320
    );
321
 
322
 
323
  -----------------------------------------------------------------------------
324
  -- ALU
325
  -----------------------------------------------------------------------------
326
  alu_b : t400_alu
327 43 arniml
    generic map (
328
      opt_cko_g => opt_cko_g
329
    )
330 2 arniml
    port map (
331
      ck_i       => ck_i,
332
      ck_en_i    => ck_en_s,
333
      por_i      => por_s,
334
      res_i      => res_s,
335 43 arniml
      cko_i      => cko_i,
336 2 arniml
      op_i       => alu_op_s,
337
      m_i        => dm_data_i,
338
      dec_data_i => dec_data_s,
339
      q_low_i    => q_s(3 downto 0),
340
      b_i        => b_s,
341 53 arniml
      g_i        => io_g_s,
342 2 arniml
      in_i       => in_s,
343
      sio_i      => sio_s,
344
      a_o        => a_s,
345
      carry_o    => carry_s,
346
      c_o        => c_s
347
    );
348
 
349
 
350
  -----------------------------------------------------------------------------
351
  -- Stack module
352
  -----------------------------------------------------------------------------
353
  stack_b : t400_stack
354
    generic map (
355
      opt_type_g => opt_type_g
356
    )
357
    port map (
358
      ck_i    => ck_i,
359
      ck_en_i => ck_en_s,
360
      por_i   => por_s,
361
      op_i    => stack_op_s,
362
      pc_i    => pc_to_stack_s,
363
      pc_o    => pc_from_stack_s
364
    );
365
 
366
 
367
  -----------------------------------------------------------------------------
368
  -- IO L module
369
  -----------------------------------------------------------------------------
370
  io_l_b : t400_io_l
371
    generic map (
372
      opt_out_type_7_g => opt_l_out_type_7_g,
373
      opt_out_type_6_g => opt_l_out_type_6_g,
374
      opt_out_type_5_g => opt_l_out_type_5_g,
375
      opt_out_type_4_g => opt_l_out_type_4_g,
376
      opt_out_type_3_g => opt_l_out_type_3_g,
377
      opt_out_type_2_g => opt_l_out_type_2_g,
378
      opt_out_type_1_g => opt_l_out_type_1_g,
379
      opt_out_type_0_g => opt_l_out_type_0_g,
380
      opt_microbus_g   => opt_microbus_g
381
    )
382
    port map (
383
      ck_i      => ck_i,
384
      ck_en_i   => ck_en_s,
385
      por_i     => por_s,
386
      op_i      => io_l_op_s,
387
      en2_i     => en_s(2),
388
      m_i       => dm_data_i,
389
      a_i       => a_s,
390
      pm_data_i => pm_data_i,
391
      q_o       => q_s,
392
      cs_n_i    => vdd_s,
393
      rd_n_i    => vdd_s,
394
      wr_n_i    => vdd_s,
395
      io_l_i    => io_l_i,
396
      io_l_o    => io_l_o,
397
      io_l_en_o => io_l_en_o
398
    );
399
 
400
 
401
  -----------------------------------------------------------------------------
402
  -- IO D module
403
  -----------------------------------------------------------------------------
404
  io_d_b : t400_io_d
405
    generic map (
406
      opt_out_type_3_g => opt_d_out_type_3_g,
407
      opt_out_type_2_g => opt_d_out_type_2_g,
408
      opt_out_type_1_g => opt_d_out_type_1_g,
409
      opt_out_type_0_g => opt_d_out_type_0_g
410
    )
411
    port map (
412
      ck_i      => ck_i,
413
      ck_en_i   => ck_en_s,
414
      por_i     => por_s,
415
      res_i     => res_s,
416
      op_i      => io_d_op_s,
417
      bd_i      => b_s(bd_range_t),
418
      io_d_o    => io_d_o,
419
      io_d_en_o => io_d_en_o
420
    );
421
 
422
 
423
  -----------------------------------------------------------------------------
424 49 arniml
  -- IO G module
425 2 arniml
  -----------------------------------------------------------------------------
426
  io_g_b : t400_io_g
427
    generic map (
428
      opt_out_type_3_g => opt_g_out_type_3_g,
429
      opt_out_type_2_g => opt_g_out_type_2_g,
430
      opt_out_type_1_g => opt_g_out_type_1_g,
431
      opt_out_type_0_g => opt_g_out_type_0_g
432
    )
433
    port map (
434
      ck_i       => ck_i,
435
      ck_en_i    => ck_en_s,
436
      por_i      => por_s,
437
      res_i      => res_s,
438
      op_i       => io_g_op_s,
439
      m_i        => dm_data_i,
440
      dec_data_i => dec_data_s,
441
      io_g_o     => io_g_o,
442
      io_g_en_o  => io_g_en_o
443
    );
444
 
445
 
446
  -----------------------------------------------------------------------------
447 49 arniml
  -- IO IN module
448
  -----------------------------------------------------------------------------
449
  use_in: if opt_type_g = t400_opt_type_420_c generate
450
    io_in_b : t400_io_in
451
      port map (
452
        ck_i    => ck_i,
453
        ck_en_i => ck_en_s,
454
        por_i   => por_s,
455
        in_en_i => in_en_s,
456
        op_i    => io_in_op_s,
457
        en1_i   => en_s(1),
458
        io_in_i => io_in_i,
459
        in_o    => in_s,
460
        int_o   => open
461
      );
462
  end generate;
463
 
464
  no_in: if opt_type_g /= t400_opt_type_420_c generate
465
    in_s <= (others => '0');
466
  end generate;
467
 
468
 
469
  -----------------------------------------------------------------------------
470 2 arniml
  -- SIO module
471
  -----------------------------------------------------------------------------
472
  sio_b : t400_sio
473
    generic map (
474
      opt_so_output_type_g => opt_so_output_type_g,
475
      opt_sk_output_type_g => opt_sk_output_type_g
476
    )
477
    port map (
478
      ck_i       => ck_i,
479
      ck_en_i    => ck_en_s,
480
      por_i      => por_s,
481
      res_i      => res_s,
482
      phi1_i     => phi1_s,
483
      out_en_i   => out_en_s,
484
      in_en_i    => in_en_s,
485
      op_i       => sio_op_s,
486
      en0_i      => en_s(0),
487
      en3_i      => en_s(3),
488
      a_i        => a_s,
489
      c_i        => c_s,
490
      sio_o      => sio_s,
491
      si_i       => si_i,
492
      so_o       => so_o,
493
      so_en_o    => so_en_o,
494
      sk_o       => sk_o,
495
      sk_en_o    => sk_en_o
496
    );
497
 
498 37 arniml
 
499
  -----------------------------------------------------------------------------
500
  -- Timer module
501
  -----------------------------------------------------------------------------
502 49 arniml
  use_tim: if opt_type_g = t400_opt_type_420_c generate
503 37 arniml
    timer_b : t400_timer
504
      port map (
505
        ck_i      => ck_i,
506
        ck_en_i   => ck_en_s,
507
        por_i     => por_s,
508
        icyc_en_i => icyc_en_s,
509
        op_i      => skip_op_s,
510
        c_o       => tim_c_s
511
      );
512
  end generate;
513
 
514
  notim: if opt_type_g /= t400_opt_type_420_c generate
515
    tim_c_s <= false;
516
  end generate;
517
 
518 2 arniml
end struct;
519
 
520
 
521
-------------------------------------------------------------------------------
522
-- File History:
523
--
524
-- $Log: not supported by cvs2svn $
525 53 arniml
-- Revision 1.4  2006/05/22 00:03:29  arniml
526
-- io_in added
527
--
528 49 arniml
-- Revision 1.3  2006/05/21 21:47:40  arniml
529
-- route cko to ALU for INIL instruction
530
--
531 43 arniml
-- Revision 1.2  2006/05/20 02:48:17  arniml
532
-- timer module included
533
--
534 37 arniml
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
535
-- import from local CVS repository, LOC_CVS_0_1
536
--
537 2 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.