OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [syn/] [t421/] [ep1c12/] [rom_t42x.vhd] - Blame information for rev 163

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 157 arniml
-- This file was generated with hex2rom written by Daniel Wallner
2
 
3
library IEEE;
4
use IEEE.std_logic_1164.all;
5
use IEEE.numeric_std.all;
6
 
7
entity rom_t42x is
8
        port(
9
                Clk     : in std_logic;
10
                A       : in std_logic_vector(9 downto 0);
11
                D       : out std_logic_vector(7 downto 0)
12
        );
13
end rom_t42x;
14
 
15
architecture rtl of rom_t42x is
16
begin
17
        process (Clk)
18
        begin
19
                if Clk'event and Clk = '1' then
20 163 arniml
                case to_integer(unsigned(A)) is
21 157 arniml
                when 000000 => D <= "01000100"; -- 0x0000
22
                when 000001 => D <= "00110011"; -- 0x0001
23
                when 000002 => D <= "01011001"; -- 0x0002
24
                when 000003 => D <= "00110011"; -- 0x0003
25
                when 000004 => D <= "01010110"; -- 0x0004
26
                when 000005 => D <= "01111000"; -- 0x0005
27
                when 000006 => D <= "00111100"; -- 0x0006
28
                when 000007 => D <= "00110011"; -- 0x0007
29
                when 000008 => D <= "00111110"; -- 0x0008
30
                when 000009 => D <= "00000000"; -- 0x0009
31
                when 000010 => D <= "00010010"; -- 0x000A
32
                when 000011 => D <= "01010000"; -- 0x000B
33
                when 000012 => D <= "00110011"; -- 0x000C
34
                when 000013 => D <= "00111110"; -- 0x000D
35
                when 000014 => D <= "00000000"; -- 0x000E
36
                when 000015 => D <= "01010010"; -- 0x000F
37
                when 000016 => D <= "01010000"; -- 0x0010
38
                when 000017 => D <= "00110011"; -- 0x0011
39
                when 000018 => D <= "00111110"; -- 0x0012
40
                when 000019 => D <= "01110111"; -- 0x0013
41
                when 000020 => D <= "00110011"; -- 0x0014
42
                when 000021 => D <= "00111110"; -- 0x0015
43
                when 000022 => D <= "01010000"; -- 0x0016
44
                when 000023 => D <= "00110011"; -- 0x0017
45
                when 000024 => D <= "00111010"; -- 0x0018
46
                when 000025 => D <= "00000000"; -- 0x0019
47
                when 000026 => D <= "01010000"; -- 0x001A
48
                when 000027 => D <= "00110011"; -- 0x001B
49
                when 000028 => D <= "00111010"; -- 0x001C
50
                when 000029 => D <= "01001101"; -- 0x001D
51
                when 000030 => D <= "00110011"; -- 0x001E
52
                when 000031 => D <= "00111010"; -- 0x001F
53
                when 000032 => D <= "01000111"; -- 0x0020
54
                when 000033 => D <= "00110011"; -- 0x0021
55
                when 000034 => D <= "00111010"; -- 0x0022
56
                when 000035 => D <= "01001100"; -- 0x0023
57
                when 000036 => D <= "01000011"; -- 0x0024
58
                when 000037 => D <= "00000101"; -- 0x0025
59
                when 000038 => D <= "01010000"; -- 0x0026
60
                when 000039 => D <= "00110011"; -- 0x0027
61
                when 000040 => D <= "00111010"; -- 0x0028
62
                when 000041 => D <= "00010101"; -- 0x0029
63
                when 000042 => D <= "00100011"; -- 0x002A
64
                when 000043 => D <= "10000000"; -- 0x002B
65
                when 000044 => D <= "01011111"; -- 0x002C
66
                when 000045 => D <= "00100011"; -- 0x002D
67
                when 000046 => D <= "00000000"; -- 0x002E
68
                when 000047 => D <= "00000110"; -- 0x002F
69
                when 000048 => D <= "00110011"; -- 0x0030
70
                when 000049 => D <= "00111010"; -- 0x0031
71
                when 000050 => D <= "00000101"; -- 0x0032
72
                when 000051 => D <= "01001010"; -- 0x0033
73
                when 000052 => D <= "00000111"; -- 0x0034
74
                when 000053 => D <= "00000111"; -- 0x0035
75
                when 000054 => D <= "00110011"; -- 0x0036
76
                when 000055 => D <= "00111110"; -- 0x0037
77
                when 000056 => D <= "01110101"; -- 0x0038
78
                when 000057 => D <= "01001110"; -- 0x0039
79
                when 000058 => D <= "01010011"; -- 0x003A
80
                when 000059 => D <= "00000111"; -- 0x003B
81
                when 000060 => D <= "00110011"; -- 0x003C
82
                when 000061 => D <= "00111010"; -- 0x003D
83
                when 000062 => D <= "00000111"; -- 0x003E
84
                when 000063 => D <= "00100011"; -- 0x003F
85
                when 000064 => D <= "00000000"; -- 0x0040
86
                when 000065 => D <= "00110011"; -- 0x0041
87
                when 000066 => D <= "00111110"; -- 0x0042
88
                when 000067 => D <= "01010100"; -- 0x0043
89
                when 000068 => D <= "00000110"; -- 0x0044
90
                when 000069 => D <= "00110011"; -- 0x0045
91
                when 000070 => D <= "00111010"; -- 0x0046
92
                when 000071 => D <= "00000000"; -- 0x0047
93
                when 000072 => D <= "01000000"; -- 0x0048
94
                when 000073 => D <= "00000010"; -- 0x0049
95
                when 000074 => D <= "00000100"; -- 0x004A
96
                when 000075 => D <= "00100011"; -- 0x004B
97
                when 000076 => D <= "00000000"; -- 0x004C
98
                when 000077 => D <= "01011000"; -- 0x004D
99
                when 000078 => D <= "00100011"; -- 0x004E
100
                when 000079 => D <= "00000000"; -- 0x004F
101
                when 000080 => D <= "00100001"; -- 0x0050
102
                when 000081 => D <= "00110011"; -- 0x0051
103
                when 000082 => D <= "10010010"; -- 0x0052
104
                when 000083 => D <= "00110011"; -- 0x0053
105
                when 000084 => D <= "00111110"; -- 0x0054
106
                when 000085 => D <= "00100001"; -- 0x0055
107
                when 000086 => D <= "00011111"; -- 0x0056
108
                when 000087 => D <= "01000110"; -- 0x0057
109
                when 000088 => D <= "00100001"; -- 0x0058
110
                when 000089 => D <= "01000010"; -- 0x0059
111
                when 000090 => D <= "00100001"; -- 0x005A
112
                when 000091 => D <= "01001011"; -- 0x005B
113
                when 000092 => D <= "00100001"; -- 0x005C
114
                when 000093 => D <= "00100011"; -- 0x005D
115
                when 000094 => D <= "00000000"; -- 0x005E
116
                when 000095 => D <= "00110110"; -- 0x005F
117
                when 000096 => D <= "00100011"; -- 0x0060
118
                when 000097 => D <= "10010001"; -- 0x0061
119
                when 000098 => D <= "00010100"; -- 0x0062
120
                when 000099 => D <= "00110011"; -- 0x0063
121
                when 000100 => D <= "00101010"; -- 0x0064
122
                when 000101 => D <= "00000110"; -- 0x0065
123
                when 000102 => D <= "00000000"; -- 0x0066
124
                when 000103 => D <= "00110000"; -- 0x0067
125
                when 000104 => D <= "00100010"; -- 0x0068
126
                when 000105 => D <= "00100000"; -- 0x0069
127
                when 000106 => D <= "00100011"; -- 0x006A
128
                when 000107 => D <= "00000000"; -- 0x006B
129
                when 000108 => D <= "00000110"; -- 0x006C
130
                when 000109 => D <= "00110011"; -- 0x006D
131
                when 000110 => D <= "00111010"; -- 0x006E
132
                when 000111 => D <= "00000000"; -- 0x006F
133
                when 000112 => D <= "00110000"; -- 0x0070
134
                when 000113 => D <= "00000110"; -- 0x0071
135
                when 000114 => D <= "00110011"; -- 0x0072
136
                when 000115 => D <= "00111010"; -- 0x0073
137
                when 000116 => D <= "00110011"; -- 0x0074
138
                when 000117 => D <= "00111100"; -- 0x0075
139
                when 000118 => D <= "00000111"; -- 0x0076
140
                when 000119 => D <= "00110011"; -- 0x0077
141
                when 000120 => D <= "00101100"; -- 0x0078
142
                when 000121 => D <= "00110011"; -- 0x0079
143
                when 000122 => D <= "00111010"; -- 0x007A
144
                when 000123 => D <= "00100101"; -- 0x007B
145
                when 000124 => D <= "00110011"; -- 0x007C
146
                when 000125 => D <= "00111010"; -- 0x007D
147
                when 000126 => D <= "00110101"; -- 0x007E
148
                when 000127 => D <= "00110011"; -- 0x007F
149
                when 000128 => D <= "00111010"; -- 0x0080
150
                when 000129 => D <= "00110001"; -- 0x0081
151
                when 000130 => D <= "00000110"; -- 0x0082
152
                when 000131 => D <= "00100010"; -- 0x0083
153
                when 000132 => D <= "00100011"; -- 0x0084
154
                when 000133 => D <= "00000000"; -- 0x0085
155
                when 000134 => D <= "00010000"; -- 0x0086
156
                when 000135 => D <= "00100000"; -- 0x0087
157
                when 000136 => D <= "00000110"; -- 0x0088
158
                when 000137 => D <= "00110011"; -- 0x0089
159
                when 000138 => D <= "00111010"; -- 0x008A
160
                when 000139 => D <= "00000000"; -- 0x008B
161
                when 000140 => D <= "01010011"; -- 0x008C
162
                when 000141 => D <= "00000110"; -- 0x008D
163
                when 000142 => D <= "00100010"; -- 0x008E
164
                when 000143 => D <= "00100000"; -- 0x008F
165
                when 000144 => D <= "00000110"; -- 0x0090
166
                when 000145 => D <= "00110011"; -- 0x0091
167
                when 000146 => D <= "00111010"; -- 0x0092
168
                when 000147 => D <= "00110010"; -- 0x0093
169
                when 000148 => D <= "00100000"; -- 0x0094
170
                when 000149 => D <= "00000110"; -- 0x0095
171
                when 000150 => D <= "00110011"; -- 0x0096
172
                when 000151 => D <= "00111010"; -- 0x0097
173
                when 000152 => D <= "00001111"; -- 0x0098
174
                when 000153 => D <= "00011110"; -- 0x0099
175
                when 000154 => D <= "00110011"; -- 0x009A
176
                when 000155 => D <= "10100111"; -- 0x009B
177
                when 000156 => D <= "00110011"; -- 0x009C
178
                when 000157 => D <= "00111010"; -- 0x009D
179
                when 000158 => D <= "00110011"; -- 0x009E
180
                when 000159 => D <= "00101100"; -- 0x009F
181
                when 000160 => D <= "00110011"; -- 0x00A0
182
                when 000161 => D <= "00111010"; -- 0x00A1
183
                when 000162 => D <= "00000110"; -- 0x00A2
184
                when 000163 => D <= "00110011"; -- 0x00A3
185
                when 000164 => D <= "00111010"; -- 0x00A4
186
                when 000165 => D <= "00110011"; -- 0x00A5
187
                when 000166 => D <= "01100001"; -- 0x00A6
188
                when 000167 => D <= "01001111"; -- 0x00A7
189
                when 000168 => D <= "00000000"; -- 0x00A8
190
                when 000169 => D <= "01010111"; -- 0x00A9
191
                when 000170 => D <= "00110011"; -- 0x00AA
192
                when 000171 => D <= "00000001"; -- 0x00AB
193
                when 000172 => D <= "00000110"; -- 0x00AC
194
                when 000173 => D <= "00110011"; -- 0x00AD
195
                when 000174 => D <= "00111010"; -- 0x00AE
196
                when 000175 => D <= "00110011"; -- 0x00AF
197
                when 000176 => D <= "00010001"; -- 0x00B0
198
                when 000177 => D <= "00000110"; -- 0x00B1
199
                when 000178 => D <= "00110011"; -- 0x00B2
200
                when 000179 => D <= "00111010"; -- 0x00B3
201
                when 000180 => D <= "00110011"; -- 0x00B4
202
                when 000181 => D <= "00000011"; -- 0x00B5
203
                when 000182 => D <= "00000110"; -- 0x00B6
204
                when 000183 => D <= "00110011"; -- 0x00B7
205
                when 000184 => D <= "00111010"; -- 0x00B8
206
                when 000185 => D <= "00110011"; -- 0x00B9
207
                when 000186 => D <= "00010011"; -- 0x00BA
208
                when 000187 => D <= "00000110"; -- 0x00BB
209
                when 000188 => D <= "00110011"; -- 0x00BC
210
                when 000189 => D <= "00111010"; -- 0x00BD
211
                when 000190 => D <= "00110011"; -- 0x00BE
212
                when 000191 => D <= "00100001"; -- 0x00BF
213
                when 000192 => D <= "00000110"; -- 0x00C0
214
                when 000193 => D <= "00110011"; -- 0x00C1
215
                when 000194 => D <= "00111010"; -- 0x00C2
216
                when 000195 => D <= "00110011"; -- 0x00C3
217
                when 000196 => D <= "01010000"; -- 0x00C4
218
                when 000197 => D <= "00110011"; -- 0x00C5
219
                when 000198 => D <= "00100001"; -- 0x00C6
220
                when 000199 => D <= "00000110"; -- 0x00C7
221
                when 000200 => D <= "00110011"; -- 0x00C8
222
                when 000201 => D <= "00111010"; -- 0x00C9
223
                when 000202 => D <= "00000001"; -- 0x00CA
224
                when 000203 => D <= "00000110"; -- 0x00CB
225
                when 000204 => D <= "00110011"; -- 0x00CC
226
                when 000205 => D <= "00111010"; -- 0x00CD
227
                when 000206 => D <= "00010001"; -- 0x00CE
228
                when 000207 => D <= "00000110"; -- 0x00CF
229
                when 000208 => D <= "00110011"; -- 0x00D0
230
                when 000209 => D <= "00111010"; -- 0x00D1
231
                when 000210 => D <= "00000011"; -- 0x00D2
232
                when 000211 => D <= "00000110"; -- 0x00D3
233
                when 000212 => D <= "00110011"; -- 0x00D4
234
                when 000213 => D <= "00111010"; -- 0x00D5
235
                when 000214 => D <= "00110011"; -- 0x00D6
236
                when 000215 => D <= "00101001"; -- 0x00D7
237
                when 000216 => D <= "00110011"; -- 0x00D8
238
                when 000217 => D <= "00101000"; -- 0x00D9
239
                when 000218 => D <= "00100001"; -- 0x00DA
240
                when 000219 => D <= "00010110"; -- 0x00DB
241
                when 000220 => D <= "00110011"; -- 0x00DC
242
                when 000221 => D <= "00111010"; -- 0x00DD
243
                when 000222 => D <= "00110011"; -- 0x00DE
244
                when 000223 => D <= "00101001"; -- 0x00DF
245
                when 000224 => D <= "00000110"; -- 0x00E0
246
                when 000225 => D <= "00010011"; -- 0x00E1
247
                when 000226 => D <= "00110011"; -- 0x00E2
248
                when 000227 => D <= "00111110"; -- 0x00E3
249
                when 000228 => D <= "00110011"; -- 0x00E4
250
                when 000229 => D <= "01010001"; -- 0x00E5
251
                when 000230 => D <= "00111010"; -- 0x00E6
252
                when 000231 => D <= "00110011"; -- 0x00E7
253
                when 000232 => D <= "01010000"; -- 0x00E8
254
                when 000233 => D <= "01000100"; -- 0x00E9
255
                when 000234 => D <= "00110011"; -- 0x00EA
256
                when 000235 => D <= "00101001"; -- 0x00EB
257
                when 000236 => D <= "00000110"; -- 0x00EC
258
                when 000237 => D <= "00000001"; -- 0x00ED
259
                when 000238 => D <= "00110011"; -- 0x00EE
260
                when 000239 => D <= "00111110"; -- 0x00EF
261
                when 000240 => D <= "01000100"; -- 0x00F0
262
                when 000241 => D <= "01001111"; -- 0x00F1
263
                when 000242 => D <= "00000110"; -- 0x00F2
264
                when 000243 => D <= "00110011"; -- 0x00F3
265
                when 000244 => D <= "00111010"; -- 0x00F4
266
                when 000245 => D <= "00001111"; -- 0x00F5
267
                when 000246 => D <= "01110111"; -- 0x00F6
268
                when 000247 => D <= "01111110"; -- 0x00F7
269
                when 000248 => D <= "01110101"; -- 0x00F8
270
                when 000249 => D <= "01111100"; -- 0x00F9
271
                when 000250 => D <= "01110011"; -- 0x00FA
272
                when 000251 => D <= "01111010"; -- 0x00FB
273
                when 000252 => D <= "01110001"; -- 0x00FC
274
                when 000253 => D <= "01111000"; -- 0x00FD
275
                when 000254 => D <= "01111111"; -- 0x00FE
276
                when 000255 => D <= "01110110"; -- 0x00FF
277
                when 000256 => D <= "01111101"; -- 0x0100
278
                when 000257 => D <= "01110100"; -- 0x0101
279
                when 000258 => D <= "01111011"; -- 0x0102
280
                when 000259 => D <= "01110010"; -- 0x0103
281
                when 000260 => D <= "01111001"; -- 0x0104
282
                when 000261 => D <= "01110000"; -- 0x0105
283
                when 000262 => D <= "00011111"; -- 0x0106
284
                when 000263 => D <= "01110111"; -- 0x0107
285
                when 000264 => D <= "01111110"; -- 0x0108
286
                when 000265 => D <= "01110101"; -- 0x0109
287
                when 000266 => D <= "01111100"; -- 0x010A
288
                when 000267 => D <= "01110011"; -- 0x010B
289
                when 000268 => D <= "01111010"; -- 0x010C
290
                when 000269 => D <= "01110001"; -- 0x010D
291
                when 000270 => D <= "01111000"; -- 0x010E
292
                when 000271 => D <= "01111111"; -- 0x010F
293
                when 000272 => D <= "01110110"; -- 0x0110
294
                when 000273 => D <= "01111101"; -- 0x0111
295
                when 000274 => D <= "01110100"; -- 0x0112
296
                when 000275 => D <= "01111011"; -- 0x0113
297
                when 000276 => D <= "01110010"; -- 0x0114
298
                when 000277 => D <= "01111001"; -- 0x0115
299
                when 000278 => D <= "01110000"; -- 0x0116
300
                when 000279 => D <= "00101111"; -- 0x0117
301
                when 000280 => D <= "01110111"; -- 0x0118
302
                when 000281 => D <= "01111110"; -- 0x0119
303
                when 000282 => D <= "01110101"; -- 0x011A
304
                when 000283 => D <= "01111100"; -- 0x011B
305
                when 000284 => D <= "01110011"; -- 0x011C
306
                when 000285 => D <= "01111010"; -- 0x011D
307
                when 000286 => D <= "01110001"; -- 0x011E
308
                when 000287 => D <= "01111000"; -- 0x011F
309
                when 000288 => D <= "01111111"; -- 0x0120
310
                when 000289 => D <= "01110110"; -- 0x0121
311
                when 000290 => D <= "01111101"; -- 0x0122
312
                when 000291 => D <= "01110100"; -- 0x0123
313
                when 000292 => D <= "01111011"; -- 0x0124
314
                when 000293 => D <= "01110010"; -- 0x0125
315
                when 000294 => D <= "01111001"; -- 0x0126
316
                when 000295 => D <= "01110000"; -- 0x0127
317
                when 000296 => D <= "00111111"; -- 0x0128
318
                when 000297 => D <= "01110111"; -- 0x0129
319
                when 000298 => D <= "01111110"; -- 0x012A
320
                when 000299 => D <= "01110101"; -- 0x012B
321
                when 000300 => D <= "01111100"; -- 0x012C
322
                when 000301 => D <= "01110011"; -- 0x012D
323
                when 000302 => D <= "01111010"; -- 0x012E
324
                when 000303 => D <= "01110001"; -- 0x012F
325
                when 000304 => D <= "01111000"; -- 0x0130
326
                when 000305 => D <= "01111111"; -- 0x0131
327
                when 000306 => D <= "01110110"; -- 0x0132
328
                when 000307 => D <= "01111101"; -- 0x0133
329
                when 000308 => D <= "01110100"; -- 0x0134
330
                when 000309 => D <= "01111011"; -- 0x0135
331
                when 000310 => D <= "01110010"; -- 0x0136
332
                when 000311 => D <= "01111001"; -- 0x0137
333
                when 000312 => D <= "01110000"; -- 0x0138
334
                when 000313 => D <= "00001111"; -- 0x0139
335
                when 000314 => D <= "00110011"; -- 0x013A
336
                when 000315 => D <= "00111010"; -- 0x013B
337
                when 000316 => D <= "00000101"; -- 0x013C
338
                when 000317 => D <= "00000100"; -- 0x013D
339
                when 000318 => D <= "00110011"; -- 0x013E
340
                when 000319 => D <= "00111010"; -- 0x013F
341
                when 000320 => D <= "00000101"; -- 0x0140
342
                when 000321 => D <= "00000100"; -- 0x0141
343
                when 000322 => D <= "00110011"; -- 0x0142
344
                when 000323 => D <= "00111010"; -- 0x0143
345
                when 000324 => D <= "00000101"; -- 0x0144
346
                when 000325 => D <= "00000100"; -- 0x0145
347
                when 000326 => D <= "00110011"; -- 0x0146
348
                when 000327 => D <= "00111010"; -- 0x0147
349
                when 000328 => D <= "00000101"; -- 0x0148
350
                when 000329 => D <= "00000100"; -- 0x0149
351
                when 000330 => D <= "00110011"; -- 0x014A
352
                when 000331 => D <= "00111010"; -- 0x014B
353
                when 000332 => D <= "00000101"; -- 0x014C
354
                when 000333 => D <= "00000100"; -- 0x014D
355
                when 000334 => D <= "00110011"; -- 0x014E
356
                when 000335 => D <= "00111010"; -- 0x014F
357
                when 000336 => D <= "00000101"; -- 0x0150
358
                when 000337 => D <= "00000100"; -- 0x0151
359
                when 000338 => D <= "00110011"; -- 0x0152
360
                when 000339 => D <= "00111010"; -- 0x0153
361
                when 000340 => D <= "00000101"; -- 0x0154
362
                when 000341 => D <= "00000100"; -- 0x0155
363
                when 000342 => D <= "00110011"; -- 0x0156
364
                when 000343 => D <= "00111010"; -- 0x0157
365
                when 000344 => D <= "00000101"; -- 0x0158
366
                when 000345 => D <= "00000100"; -- 0x0159
367
                when 000346 => D <= "00110011"; -- 0x015A
368
                when 000347 => D <= "00111010"; -- 0x015B
369
                when 000348 => D <= "00000101"; -- 0x015C
370
                when 000349 => D <= "00000100"; -- 0x015D
371
                when 000350 => D <= "00110011"; -- 0x015E
372
                when 000351 => D <= "00111010"; -- 0x015F
373
                when 000352 => D <= "00000101"; -- 0x0160
374
                when 000353 => D <= "00000100"; -- 0x0161
375
                when 000354 => D <= "00110011"; -- 0x0162
376
                when 000355 => D <= "00111010"; -- 0x0163
377
                when 000356 => D <= "00000101"; -- 0x0164
378
                when 000357 => D <= "00000100"; -- 0x0165
379
                when 000358 => D <= "00110011"; -- 0x0166
380
                when 000359 => D <= "00111010"; -- 0x0167
381
                when 000360 => D <= "00000101"; -- 0x0168
382
                when 000361 => D <= "00000100"; -- 0x0169
383
                when 000362 => D <= "00110011"; -- 0x016A
384
                when 000363 => D <= "00111010"; -- 0x016B
385
                when 000364 => D <= "00000101"; -- 0x016C
386
                when 000365 => D <= "00000100"; -- 0x016D
387
                when 000366 => D <= "00110011"; -- 0x016E
388
                when 000367 => D <= "00111010"; -- 0x016F
389
                when 000368 => D <= "00000101"; -- 0x0170
390
                when 000369 => D <= "00000100"; -- 0x0171
391
                when 000370 => D <= "00110011"; -- 0x0172
392
                when 000371 => D <= "00111010"; -- 0x0173
393
                when 000372 => D <= "00000101"; -- 0x0174
394
                when 000373 => D <= "00000100"; -- 0x0175
395
                when 000374 => D <= "00110011"; -- 0x0176
396
                when 000375 => D <= "00111010"; -- 0x0177
397
                when 000376 => D <= "00000101"; -- 0x0178
398
                when 000377 => D <= "00000100"; -- 0x0179
399
                when 000378 => D <= "01000100"; -- 0x017A
400
                when 000379 => D <= "00011111"; -- 0x017B
401
                when 000380 => D <= "00110011"; -- 0x017C
402
                when 000381 => D <= "00111010"; -- 0x017D
403
                when 000382 => D <= "00000101"; -- 0x017E
404
                when 000383 => D <= "00000100"; -- 0x017F
405
                when 000384 => D <= "00110011"; -- 0x0180
406
                when 000385 => D <= "00111010"; -- 0x0181
407
                when 000386 => D <= "00000101"; -- 0x0182
408
                when 000387 => D <= "00000100"; -- 0x0183
409
                when 000388 => D <= "00110011"; -- 0x0184
410
                when 000389 => D <= "00111010"; -- 0x0185
411
                when 000390 => D <= "00000101"; -- 0x0186
412
                when 000391 => D <= "00000100"; -- 0x0187
413
                when 000392 => D <= "00110011"; -- 0x0188
414
                when 000393 => D <= "00111010"; -- 0x0189
415
                when 000394 => D <= "00000101"; -- 0x018A
416
                when 000395 => D <= "00000100"; -- 0x018B
417
                when 000396 => D <= "00110011"; -- 0x018C
418
                when 000397 => D <= "00111010"; -- 0x018D
419
                when 000398 => D <= "00000101"; -- 0x018E
420
                when 000399 => D <= "00000100"; -- 0x018F
421
                when 000400 => D <= "00110011"; -- 0x0190
422
                when 000401 => D <= "00111010"; -- 0x0191
423
                when 000402 => D <= "00000101"; -- 0x0192
424
                when 000403 => D <= "00000100"; -- 0x0193
425
                when 000404 => D <= "00110011"; -- 0x0194
426
                when 000405 => D <= "00111010"; -- 0x0195
427
                when 000406 => D <= "00000101"; -- 0x0196
428
                when 000407 => D <= "00000100"; -- 0x0197
429
                when 000408 => D <= "00110011"; -- 0x0198
430
                when 000409 => D <= "00111010"; -- 0x0199
431
                when 000410 => D <= "00000101"; -- 0x019A
432
                when 000411 => D <= "00000100"; -- 0x019B
433
                when 000412 => D <= "00110011"; -- 0x019C
434
                when 000413 => D <= "00111010"; -- 0x019D
435
                when 000414 => D <= "00000101"; -- 0x019E
436
                when 000415 => D <= "00000100"; -- 0x019F
437
                when 000416 => D <= "00110011"; -- 0x01A0
438
                when 000417 => D <= "00111010"; -- 0x01A1
439
                when 000418 => D <= "00000101"; -- 0x01A2
440
                when 000419 => D <= "00000100"; -- 0x01A3
441
                when 000420 => D <= "00110011"; -- 0x01A4
442
                when 000421 => D <= "00111010"; -- 0x01A5
443
                when 000422 => D <= "00000101"; -- 0x01A6
444
                when 000423 => D <= "00000100"; -- 0x01A7
445
                when 000424 => D <= "00110011"; -- 0x01A8
446
                when 000425 => D <= "00111010"; -- 0x01A9
447
                when 000426 => D <= "00000101"; -- 0x01AA
448
                when 000427 => D <= "00000100"; -- 0x01AB
449
                when 000428 => D <= "00110011"; -- 0x01AC
450
                when 000429 => D <= "00111010"; -- 0x01AD
451
                when 000430 => D <= "00000101"; -- 0x01AE
452
                when 000431 => D <= "00000100"; -- 0x01AF
453
                when 000432 => D <= "00110011"; -- 0x01B0
454
                when 000433 => D <= "00111010"; -- 0x01B1
455
                when 000434 => D <= "00000101"; -- 0x01B2
456
                when 000435 => D <= "00000100"; -- 0x01B3
457
                when 000436 => D <= "00110011"; -- 0x01B4
458
                when 000437 => D <= "00111010"; -- 0x01B5
459
                when 000438 => D <= "00000101"; -- 0x01B6
460
                when 000439 => D <= "00000100"; -- 0x01B7
461
                when 000440 => D <= "00110011"; -- 0x01B8
462
                when 000441 => D <= "00111010"; -- 0x01B9
463
                when 000442 => D <= "00000101"; -- 0x01BA
464
                when 000443 => D <= "00000100"; -- 0x01BB
465
                when 000444 => D <= "01000100"; -- 0x01BC
466
                when 000445 => D <= "00101111"; -- 0x01BD
467
                when 000446 => D <= "00110011"; -- 0x01BE
468
                when 000447 => D <= "00111010"; -- 0x01BF
469
                when 000448 => D <= "00000101"; -- 0x01C0
470
                when 000449 => D <= "00000100"; -- 0x01C1
471
                when 000450 => D <= "00110011"; -- 0x01C2
472
                when 000451 => D <= "00111010"; -- 0x01C3
473
                when 000452 => D <= "00000101"; -- 0x01C4
474
                when 000453 => D <= "00000100"; -- 0x01C5
475
                when 000454 => D <= "00110011"; -- 0x01C6
476
                when 000455 => D <= "00111010"; -- 0x01C7
477
                when 000456 => D <= "00000101"; -- 0x01C8
478
                when 000457 => D <= "00000100"; -- 0x01C9
479
                when 000458 => D <= "00110011"; -- 0x01CA
480
                when 000459 => D <= "00111010"; -- 0x01CB
481
                when 000460 => D <= "00000101"; -- 0x01CC
482
                when 000461 => D <= "00000100"; -- 0x01CD
483
                when 000462 => D <= "00110011"; -- 0x01CE
484
                when 000463 => D <= "00111010"; -- 0x01CF
485
                when 000464 => D <= "00000101"; -- 0x01D0
486
                when 000465 => D <= "00000100"; -- 0x01D1
487
                when 000466 => D <= "00110011"; -- 0x01D2
488
                when 000467 => D <= "00111010"; -- 0x01D3
489
                when 000468 => D <= "00000101"; -- 0x01D4
490
                when 000469 => D <= "00000100"; -- 0x01D5
491
                when 000470 => D <= "00110011"; -- 0x01D6
492
                when 000471 => D <= "00111010"; -- 0x01D7
493
                when 000472 => D <= "00000101"; -- 0x01D8
494
                when 000473 => D <= "00000100"; -- 0x01D9
495
                when 000474 => D <= "00110011"; -- 0x01DA
496
                when 000475 => D <= "00111010"; -- 0x01DB
497
                when 000476 => D <= "00000101"; -- 0x01DC
498
                when 000477 => D <= "00000100"; -- 0x01DD
499
                when 000478 => D <= "00110011"; -- 0x01DE
500
                when 000479 => D <= "00111010"; -- 0x01DF
501
                when 000480 => D <= "00000101"; -- 0x01E0
502
                when 000481 => D <= "00000100"; -- 0x01E1
503
                when 000482 => D <= "00110011"; -- 0x01E2
504
                when 000483 => D <= "00111010"; -- 0x01E3
505
                when 000484 => D <= "00000101"; -- 0x01E4
506
                when 000485 => D <= "00000100"; -- 0x01E5
507
                when 000486 => D <= "00110011"; -- 0x01E6
508
                when 000487 => D <= "00111010"; -- 0x01E7
509
                when 000488 => D <= "00000101"; -- 0x01E8
510
                when 000489 => D <= "00000100"; -- 0x01E9
511
                when 000490 => D <= "00110011"; -- 0x01EA
512
                when 000491 => D <= "00111010"; -- 0x01EB
513
                when 000492 => D <= "00000101"; -- 0x01EC
514
                when 000493 => D <= "00000100"; -- 0x01ED
515
                when 000494 => D <= "00110011"; -- 0x01EE
516
                when 000495 => D <= "00111010"; -- 0x01EF
517
                when 000496 => D <= "00000101"; -- 0x01F0
518
                when 000497 => D <= "00000100"; -- 0x01F1
519
                when 000498 => D <= "00110011"; -- 0x01F2
520
                when 000499 => D <= "00111010"; -- 0x01F3
521
                when 000500 => D <= "00000101"; -- 0x01F4
522
                when 000501 => D <= "00000100"; -- 0x01F5
523
                when 000502 => D <= "00110011"; -- 0x01F6
524
                when 000503 => D <= "00111010"; -- 0x01F7
525
                when 000504 => D <= "00000101"; -- 0x01F8
526
                when 000505 => D <= "00000100"; -- 0x01F9
527
                when 000506 => D <= "00110011"; -- 0x01FA
528
                when 000507 => D <= "00111010"; -- 0x01FB
529
                when 000508 => D <= "00000101"; -- 0x01FC
530
                when 000509 => D <= "00000100"; -- 0x01FD
531
                when 000510 => D <= "01000100"; -- 0x01FE
532
                when 000511 => D <= "00111111"; -- 0x01FF
533
                when 000512 => D <= "00110011"; -- 0x0200
534
                when 000513 => D <= "00111010"; -- 0x0201
535
                when 000514 => D <= "00000101"; -- 0x0202
536
                when 000515 => D <= "00000100"; -- 0x0203
537
                when 000516 => D <= "00110011"; -- 0x0204
538
                when 000517 => D <= "00111010"; -- 0x0205
539
                when 000518 => D <= "00000101"; -- 0x0206
540
                when 000519 => D <= "00000100"; -- 0x0207
541
                when 000520 => D <= "00110011"; -- 0x0208
542
                when 000521 => D <= "00111010"; -- 0x0209
543
                when 000522 => D <= "00000101"; -- 0x020A
544
                when 000523 => D <= "00000100"; -- 0x020B
545
                when 000524 => D <= "00110011"; -- 0x020C
546
                when 000525 => D <= "00111010"; -- 0x020D
547
                when 000526 => D <= "00000101"; -- 0x020E
548
                when 000527 => D <= "00000100"; -- 0x020F
549
                when 000528 => D <= "00110011"; -- 0x0210
550
                when 000529 => D <= "00111010"; -- 0x0211
551
                when 000530 => D <= "00000101"; -- 0x0212
552
                when 000531 => D <= "00000100"; -- 0x0213
553
                when 000532 => D <= "00110011"; -- 0x0214
554
                when 000533 => D <= "00111010"; -- 0x0215
555
                when 000534 => D <= "00000101"; -- 0x0216
556
                when 000535 => D <= "00000100"; -- 0x0217
557
                when 000536 => D <= "00110011"; -- 0x0218
558
                when 000537 => D <= "00111010"; -- 0x0219
559
                when 000538 => D <= "00000101"; -- 0x021A
560
                when 000539 => D <= "00000100"; -- 0x021B
561
                when 000540 => D <= "00110011"; -- 0x021C
562
                when 000541 => D <= "00111010"; -- 0x021D
563
                when 000542 => D <= "00000101"; -- 0x021E
564
                when 000543 => D <= "00000100"; -- 0x021F
565
                when 000544 => D <= "00110011"; -- 0x0220
566
                when 000545 => D <= "00111010"; -- 0x0221
567
                when 000546 => D <= "00000101"; -- 0x0222
568
                when 000547 => D <= "00000100"; -- 0x0223
569
                when 000548 => D <= "00110011"; -- 0x0224
570
                when 000549 => D <= "00111010"; -- 0x0225
571
                when 000550 => D <= "00000101"; -- 0x0226
572
                when 000551 => D <= "00000100"; -- 0x0227
573
                when 000552 => D <= "00110011"; -- 0x0228
574
                when 000553 => D <= "00111010"; -- 0x0229
575
                when 000554 => D <= "00000101"; -- 0x022A
576
                when 000555 => D <= "00000100"; -- 0x022B
577
                when 000556 => D <= "00110011"; -- 0x022C
578
                when 000557 => D <= "00111010"; -- 0x022D
579
                when 000558 => D <= "00000101"; -- 0x022E
580
                when 000559 => D <= "00000100"; -- 0x022F
581
                when 000560 => D <= "00110011"; -- 0x0230
582
                when 000561 => D <= "00111010"; -- 0x0231
583
                when 000562 => D <= "00000101"; -- 0x0232
584
                when 000563 => D <= "00000100"; -- 0x0233
585
                when 000564 => D <= "00110011"; -- 0x0234
586
                when 000565 => D <= "00111010"; -- 0x0235
587
                when 000566 => D <= "00000101"; -- 0x0236
588
                when 000567 => D <= "00000100"; -- 0x0237
589
                when 000568 => D <= "00110011"; -- 0x0238
590
                when 000569 => D <= "00111010"; -- 0x0239
591
                when 000570 => D <= "00000101"; -- 0x023A
592
                when 000571 => D <= "00000100"; -- 0x023B
593
                when 000572 => D <= "00110011"; -- 0x023C
594
                when 000573 => D <= "00111010"; -- 0x023D
595
                when 000574 => D <= "00000101"; -- 0x023E
596
                when 000575 => D <= "00000100"; -- 0x023F
597
                when 000576 => D <= "01000100"; -- 0x0240
598
                when 000577 => D <= "01100010"; -- 0x0241
599
                when 000578 => D <= "01000001"; -- 0x0242
600
                when others => D <= "--------";
601
                end case;
602 163 arniml
        end if;
603 157 arniml
        end process;
604
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.