OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_1_beta/] [rtl/] [vhdl/] [p2-c.vhd] - Blame information for rev 292

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3
-- The Port 2 unit.
4
-- Implements the Port 2 logic.
5
--
6
-- $Id: p2-c.vhd,v 1.1 2004-03-23 21:31:53 arniml Exp $
7
--
8
-- All rights reserved
9
--
10
-------------------------------------------------------------------------------
11
 
12
configuration p2_rtl_c0 of p2 is
13
 
14
  for rtl
15
  end for;
16
 
17
end p2_rtl_c0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.