OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_3_beta/] [rtl/] [vhdl/] [alu_pack-p.vhd] - Blame information for rev 38

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3 38 arniml
-- $Id: alu_pack-p.vhd,v 1.2 2004-04-04 14:18:53 arniml Exp $
4 4 arniml
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t48_pack.word_width_c;
15
 
16
package alu_pack is
17
 
18
  -----------------------------------------------------------------------------
19
  -- The ALU operations
20
  -----------------------------------------------------------------------------
21
  type alu_op_t is (ALU_AND, ALU_OR, ALU_XOR,
22
                    ALU_CPL, ALU_CLR,
23
                    ALU_RL, ALU_RR,
24
                    ALU_SWAP,
25
                    ALU_DEC, ALU_INC,
26
                    ALU_ADD,
27 38 arniml
                    ALU_CONCAT,
28 4 arniml
                    ALU_NOP);
29
 
30
  -----------------------------------------------------------------------------
31
  -- The dedicated ALU arithmetic types.
32
  -----------------------------------------------------------------------------
33
  subtype alu_operand_t is std_logic_vector(word_width_c downto 0);
34
 
35
end alu_pack;
36
 
37
 
38
-------------------------------------------------------------------------------
39
-- File History:
40
--
41
-- $Log: not supported by cvs2svn $
42 38 arniml
-- Revision 1.1  2004/03/23 21:31:52  arniml
43
-- initial check-in
44 4 arniml
--
45 38 arniml
--
46 4 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.