OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_3_beta/] [rtl/] [vhdl/] [bus_mux.vhd] - Blame information for rev 329

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3
-- The T48 Bus Connector.
4
-- Multiplexes all drivers of the T48 bus.
5
--
6
-- $Id: bus_mux.vhd,v 1.1 2004-03-23 21:31:52 arniml Exp $
7
--
8
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
9
--
10
-- All rights reserved
11
--
12
-- Redistribution and use in source and synthezised forms, with or without
13
-- modification, are permitted provided that the following conditions are met:
14
--
15
-- Redistributions of source code must retain the above copyright notice,
16
-- this list of conditions and the following disclaimer.
17
--
18
-- Redistributions in synthesized form must reproduce the above copyright
19
-- notice, this list of conditions and the following disclaimer in the
20
-- documentation and/or other materials provided with the distribution.
21
--
22
-- Neither the name of the author nor the names of other contributors may
23
-- be used to endorse or promote products derived from this software without
24
-- specific prior written permission.
25
--
26
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
27
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
28
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
29
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
30
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
31
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
32
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
33
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
34
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
35
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
36
-- POSSIBILITY OF SUCH DAMAGE.
37
--
38
-- Please report bugs to the author, but before you do so, please
39
-- make sure that this is not a derivative work and that
40
-- you have the latest version of this file.
41
--
42
-- The latest version of this file can be found at:
43
--      http://www.opencores.org/cvsweb.shtml/t48/
44
--
45
-------------------------------------------------------------------------------
46
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
 
50
use work.t48_pack.word_t;
51
 
52
entity bus_mux is
53
 
54
  port (
55
    alu_data_i : in  word_t;
56
    bus_data_i : in  word_t;
57
    dec_data_i : in  word_t;
58
    dm_data_i  : in  word_t;
59
    pm_data_i  : in  word_t;
60
    p1_data_i  : in  word_t;
61
    p2_data_i  : in  word_t;
62
    psw_data_i : in  word_t;
63
    tim_data_i : in  word_t;
64
    data_o     : out word_t
65
  );
66
 
67
end bus_mux;
68
 
69
 
70
use work.t48_pack.bus_idle_level_c;
71
 
72
architecture rtl of bus_mux is
73
 
74
begin
75
 
76
  or_tree: if bus_idle_level_c = '0' generate
77
    data_o <= alu_data_i or
78
              bus_data_i or
79
              dec_data_i or
80
              dm_data_i  or
81
              pm_data_i  or
82
              p1_data_i  or
83
              p2_data_i  or
84
              psw_data_i or
85
              tim_data_i;
86
  end generate;
87
 
88
  and_tree: if bus_idle_level_c = '1' generate
89
    data_o <= alu_data_i and
90
              bus_data_i and
91
              dec_data_i and
92
              dm_data_i  and
93
              pm_data_i  and
94
              p1_data_i  and
95
              p2_data_i  and
96
              psw_data_i and
97
              tim_data_i;
98
  end generate;
99
 
100
end rtl;
101
 
102
 
103
-------------------------------------------------------------------------------
104
-- File History:
105
--
106
-- $Log: not supported by cvs2svn $
107
--
108
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.