OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_3_beta/] [rtl/] [vhdl/] [t48_comp_pack-p.vhd] - Blame information for rev 329

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3 119 arniml
-- $Id: t48_comp_pack-p.vhd,v 1.7 2004-06-30 21:16:21 arniml Exp $
4 4 arniml
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.alu_pack.alu_op_t;
15
use work.cond_branch_pack.branch_conditions_t;
16
use work.cond_branch_pack.comp_value_t;
17
use work.decoder_pack.mnemonic_t;
18
use work.dmem_ctrl_pack.dmem_addr_ident_t;
19
use work.pmem_ctrl_pack.pmem_addr_ident_t;
20
use work.t48_pack.dmem_addr_t;
21
use work.t48_pack.pmem_addr_t;
22
use work.t48_pack.mstate_t;
23
use work.t48_pack.word_t;
24
use work.t48_pack.nibble_t;
25
 
26
package t48_comp_pack is
27
 
28
  component alu
29
    port (
30
      clk_i              : in  std_logic;
31
      res_i              : in  std_logic;
32
      en_clk_i           : in  boolean;
33
      data_i             : in  word_t;
34
      data_o             : out word_t;
35
      write_accu_i       : in  boolean;
36
      write_shadow_i     : in  boolean;
37
      write_temp_reg_i   : in  boolean;
38
      read_alu_i         : in  boolean;
39
      carry_i            : in  std_logic;
40
      carry_o            : out std_logic;
41
      aux_carry_o        : out std_logic;
42
      alu_op_i           : in  alu_op_t;
43 28 arniml
      use_carry_i        : in  boolean;
44
      da_high_i          : in  boolean;
45
      da_overflow_o      : out boolean;
46 38 arniml
      accu_low_i         : in  boolean;
47 28 arniml
      p06_temp_reg_i     : in  boolean;
48
      p60_temp_reg_i     : in  boolean
49 4 arniml
    );
50
  end component;
51
 
52
  component bus_mux
53
    port (
54
      alu_data_i : in  word_t;
55
      bus_data_i : in  word_t;
56
      dec_data_i : in  word_t;
57
      dm_data_i  : in  word_t;
58
      pm_data_i  : in  word_t;
59
      p1_data_i  : in  word_t;
60
      p2_data_i  : in  word_t;
61
      psw_data_i : in  word_t;
62
      tim_data_i : in  word_t;
63
      data_o     : out word_t
64
    );
65
  end component;
66
 
67
  component clock_ctrl
68
    generic (
69
      xtal_div_3_g   : integer := 1
70
    );
71
    port (
72
      clk_i          : in  std_logic;
73
      xtal_i         : in  std_logic;
74
      res_i          : in  std_logic;
75
      en_clk_i       : in  boolean;
76
      xtal3_o        : out boolean;
77
      multi_cycle_i  : in  boolean;
78
      assert_psen_i  : in  boolean;
79
      assert_prog_i  : in  boolean;
80
      assert_rd_i    : in  boolean;
81
      assert_wr_i    : in  boolean;
82
      mstate_o       : out mstate_t;
83
      second_cycle_o : out boolean;
84
      ale_o          : out boolean;
85
      psen_o         : out boolean;
86
      prog_o         : out boolean;
87
      rd_o           : out boolean;
88
      wr_o           : out boolean
89
    );
90
  end component;
91
 
92
  component cond_branch
93
    port (
94
      clk_i          : in  std_logic;
95
      res_i          : in  std_logic;
96
      en_clk_i       : in  boolean;
97
      compute_take_i : in  boolean;
98
      branch_cond_i  : in  branch_conditions_t;
99
      take_branch_o  : out boolean;
100
      accu_i         : in  word_t;
101
      t0_i           : in  std_logic;
102
      t1_i           : in  std_logic;
103
      int_n_i        : in  std_logic;
104
      f0_i           : in  std_logic;
105
      f1_i           : in  std_logic;
106
      tf_i           : in  std_logic;
107
      carry_i        : in  std_logic;
108
      comp_value_i   : in  comp_value_t
109
    );
110
  end component;
111
 
112
  component db_bus
113
    port (
114
      clk_i        : in  std_logic;
115
      res_i        : in  std_logic;
116
      en_clk_i     : in  boolean;
117
      ea_i         : in  std_logic;
118
      data_i       : in  word_t;
119
      data_o       : out word_t;
120
      write_bus_i  : in  boolean;
121
      read_bus_i   : in  boolean;
122
      output_pcl_i : in  boolean;
123
      bidir_bus_i  : in  boolean;
124
      pcl_i        : in  word_t;
125
      db_i         : in  word_t;
126
      db_o         : out word_t;
127
      db_dir_o     : out std_logic
128
    );
129
  end component;
130
 
131
  component decoder
132
    generic (
133
      register_mnemonic_g   : integer := 1
134
    );
135
    port (
136
      clk_i                  : in  std_logic;
137
      res_i                  : in  std_logic;
138
      en_clk_i               : in  boolean;
139
      ea_i                   : in  std_logic;
140
      ale_i                  : in  boolean;
141
      int_n_i                : in  std_logic;
142
      t0_dir_o               : out std_logic;
143
      data_i                 : in  word_t;
144
      data_o                 : out word_t;
145
      alu_write_accu_o       : out boolean;
146
      alu_write_shadow_o     : out boolean;
147
      alu_write_temp_reg_o   : out boolean;
148
      alu_read_alu_o         : out boolean;
149
      bus_write_bus_o        : out boolean;
150
      bus_read_bus_o         : out boolean;
151
      dm_write_dmem_addr_o   : out boolean;
152
      dm_write_dmem_o        : out boolean;
153
      dm_read_dmem_o         : out boolean;
154
      p1_write_p1_o          : out boolean;
155
      p1_read_p1_o           : out boolean;
156
      p2_write_p2_o          : out boolean;
157
      p2_write_exp_o         : out boolean;
158
      p2_read_p2_o           : out boolean;
159
      pm_write_pcl_o         : out boolean;
160
      pm_read_pcl_o          : out boolean;
161
      pm_write_pch_o         : out boolean;
162
      pm_read_pch_o          : out boolean;
163
      pm_read_pmem_o         : out boolean;
164
      psw_read_psw_o         : out boolean;
165
      psw_read_sp_o          : out boolean;
166
      psw_write_psw_o        : out boolean;
167
      psw_write_sp_o         : out boolean;
168
      alu_carry_i            : in  std_logic;
169
      alu_op_o               : out alu_op_t;
170 28 arniml
      alu_da_high_o          : out boolean;
171 38 arniml
      alu_accu_low_o         : out boolean;
172 28 arniml
      alu_da_overflow_i      : in  boolean;
173
      alu_p06_temp_reg_o     : out boolean;
174
      alu_p60_temp_reg_o     : out boolean;
175 4 arniml
      alu_use_carry_o        : out boolean;
176
      bus_output_pcl_o       : out boolean;
177
      bus_bidir_bus_o        : out boolean;
178
      clk_multi_cycle_o      : out boolean;
179
      clk_assert_psen_o      : out boolean;
180
      clk_assert_prog_o      : out boolean;
181
      clk_assert_rd_o        : out boolean;
182
      clk_assert_wr_o        : out boolean;
183
      clk_mstate_i           : in  mstate_t;
184
      clk_second_cycle_i     : in  boolean;
185
      cnd_compute_take_o     : out boolean;
186
      cnd_branch_cond_o      : out branch_conditions_t;
187
      cnd_take_branch_i      : in  boolean;
188
      cnd_comp_value_o       : out comp_value_t;
189
      cnd_f1_o               : out std_logic;
190
      cnd_tf_o               : out std_logic;
191
      dm_addr_type_o         : out dmem_addr_ident_t;
192
      tim_read_timer_o       : out boolean;
193
      tim_write_timer_o      : out boolean;
194
      tim_start_t_o          : out boolean;
195
      tim_start_cnt_o        : out boolean;
196
      tim_stop_tcnt_o        : out boolean;
197
      p1_read_reg_o          : out boolean;
198
      p2_read_reg_o          : out boolean;
199 24 arniml
      p2_read_exp_o          : out boolean;
200 4 arniml
      p2_output_pch_o        : out boolean;
201
      p2_output_exp_o        : out boolean;
202
      pm_inc_pc_o            : out boolean;
203
      pm_write_pmem_addr_o   : out boolean;
204
      pm_addr_type_o         : out pmem_addr_ident_t;
205
      psw_special_data_o     : out std_logic;
206
      psw_carry_i            : in  std_logic;
207 28 arniml
      psw_aux_carry_i        : in  std_logic;
208 4 arniml
      psw_f0_i               : in  std_logic;
209
      psw_inc_stackp_o       : out boolean;
210
      psw_dec_stackp_o       : out boolean;
211
      psw_write_carry_o      : out boolean;
212
      psw_write_aux_carry_o  : out boolean;
213
      psw_write_f0_o         : out boolean;
214
      psw_write_bs_o         : out boolean;
215
      tim_overflow_i         : in  boolean
216
    );
217
  end component;
218
 
219
  component dmem_ctrl
220
    port (
221
      clk_i             : in  std_logic;
222
      res_i             : in  std_logic;
223
      en_clk_i          : in  boolean;
224
      data_i            : in  word_t;
225
      write_dmem_addr_i : in  boolean;
226
      write_dmem_i      : in  boolean;
227
      read_dmem_i       : in  boolean;
228
      addr_type_i       : in  dmem_addr_ident_t;
229
      bank_select_i     : in  std_logic;
230
      data_o            : out word_t;
231
      dmem_data_i       : in  word_t;
232
      dmem_addr_o       : out dmem_addr_t;
233
      dmem_we_o         : out std_logic;
234
      dmem_data_o       : out word_t
235
    );
236
  end component;
237
 
238
  component int
239
    port (
240 119 arniml
      clk_i             : in  std_logic;
241
      res_i             : in  std_logic;
242
      en_clk_i          : in  boolean;
243
      clk_mstate_i      : in  mstate_t;
244
      jtf_executed_i    : in  boolean;
245
      tim_overflow_i    : in  boolean;
246
      tf_o              : out std_logic;
247
      en_tcnti_i        : in  boolean;
248
      dis_tcnti_i       : in  boolean;
249
      int_n_i           : in  std_logic;
250
      ale_i             : in  boolean;
251
      last_cycle_i      : in  boolean;
252
      en_i_i            : in  boolean;
253
      dis_i_i           : in  boolean;
254
      ext_int_o         : out boolean;
255
      tim_int_o         : out boolean;
256
      retr_executed_i   : in  boolean;
257
      int_executed_i    : in  boolean;
258
      int_pending_o     : out boolean;
259
      int_in_progress_o : out boolean
260 4 arniml
    );
261
  end component;
262
 
263
  component opc_table
264
    port (
265
      opcode_i      : in  word_t;
266
      multi_cycle_o : out std_logic;
267
      mnemonic_o    : out mnemonic_t
268
    );
269
  end component;
270
 
271
  component opc_decoder
272
    generic (
273
      register_mnemonic_g : integer := 1
274
    );
275
    port (
276
      clk_i         : in  std_logic;
277
      res_i         : in  std_logic;
278
      en_clk_i      : in  boolean;
279
      data_i        : in  word_t;
280
      read_bus_i    : in  boolean;
281
      inj_int_i     : in  boolean;
282
      opcode_o      : out word_t;
283
      mnemonic_o    : out mnemonic_t;
284
      multi_cycle_o : out boolean
285
    );
286
  end component;
287
 
288
  component timer
289
    generic (
290
      sample_t1_state_g : integer := 4
291
    );
292
    port (
293
      clk_i         : in  std_logic;
294
      res_i         : in  std_logic;
295
      en_clk_i      : in  boolean;
296
      t1_i          : in  std_logic;
297
      clk_mstate_i  : in  mstate_t;
298
      data_i        : in  word_t;
299
      data_o        : out word_t;
300
      read_timer_i  : in  boolean;
301
      write_timer_i : in  boolean;
302
      start_t_i     : in  boolean;
303
      start_cnt_i   : in  boolean;
304
      stop_tcnt_i   : in  boolean;
305
      overflow_o    : out std_logic
306
    );
307
  end component;
308
 
309
  component p1
310
    port (
311 32 arniml
      clk_i        : in  std_logic;
312
      res_i        : in  std_logic;
313
      en_clk_i     : in  boolean;
314
      data_i       : in  word_t;
315
      data_o       : out word_t;
316
      write_p1_i   : in  boolean;
317
      read_p1_i    : in  boolean;
318
      read_reg_i   : in  boolean;
319
      p1_i         : in  word_t;
320
      p1_o         : out word_t;
321
      p1_low_imp_o : out std_logic
322 4 arniml
    );
323
  end component;
324
 
325
  component p2
326
    port (
327
      clk_i        : in  std_logic;
328
      res_i        : in  std_logic;
329
      en_clk_i     : in  boolean;
330
      data_i       : in  word_t;
331
      data_o       : out word_t;
332
      write_p2_i   : in  boolean;
333
      write_exp_i  : in  boolean;
334
      read_p2_i    : in  boolean;
335
      read_reg_i   : in  boolean;
336 24 arniml
      read_exp_i   : in  boolean;
337 4 arniml
      output_pch_i : in  boolean;
338
      output_exp_i : in  boolean;
339
      pch_i        : in  nibble_t;
340
      p2_i         : in  word_t;
341
      p2_o         : out word_t;
342 32 arniml
      p2_low_imp_o : out std_logic
343 4 arniml
    );
344
  end component;
345
 
346
  component pmem_ctrl
347
    port (
348
      clk_i             : in  std_logic;
349
      res_i             : in  std_logic;
350
      en_clk_i          : in  boolean;
351
      data_i            : in  word_t;
352
      data_o            : out word_t;
353
      write_pcl_i       : in  boolean;
354
      read_pcl_i        : in  boolean;
355
      write_pch_i       : in  boolean;
356
      read_pch_i        : in  boolean;
357
      inc_pc_i          : in  boolean;
358
      write_pmem_addr_i : in  boolean;
359
      addr_type_i       : in  pmem_addr_ident_t;
360
      read_pmem_i       : in  boolean;
361
      pmem_addr_o       : out pmem_addr_t;
362
      pmem_data_i       : in  word_t
363
    );
364
  end component;
365
 
366
  component psw
367
    port (
368
      clk_i              : in  std_logic;
369
      res_i              : in  std_logic;
370
      en_clk_i           : in  boolean;
371
      data_i             : in  word_t;
372
      data_o             : out word_t;
373
      read_psw_i         : in  boolean;
374
      read_sp_i          : in  boolean;
375
      write_psw_i        : in  boolean;
376
      write_sp_i         : in  boolean;
377
      special_data_i     : in  std_logic;
378
      inc_stackp_i       : in  boolean;
379
      dec_stackp_i       : in  boolean;
380
      write_carry_i      : in  boolean;
381
      write_aux_carry_i  : in  boolean;
382
      write_f0_i         : in  boolean;
383
      write_bs_i         : in  boolean;
384
      carry_o            : out std_logic;
385 28 arniml
      aux_carry_i        : in  std_logic;
386 4 arniml
      aux_carry_o        : out std_logic;
387
      f0_o               : out std_logic;
388
      bs_o               : out std_logic
389
    );
390
  end component;
391
 
392
end t48_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.