OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_6__beta/] [rtl/] [vhdl/] [t48_comp_pack-p.vhd] - Blame information for rev 325

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3 179 arniml
-- $Id: t48_comp_pack-p.vhd,v 1.9 2005-06-11 10:08:43 arniml Exp $
4 4 arniml
--
5 162 arniml
-- Copyright (c) 2004, 2005, Arnim Laeuger (arniml@opencores.org)
6 4 arniml
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14 179 arniml
use work.t48_alu_pack.alu_op_t;
15
use work.t48_cond_branch_pack.branch_conditions_t;
16
use work.t48_cond_branch_pack.comp_value_t;
17
use work.t48_decoder_pack.mnemonic_t;
18
use work.t48_dmem_ctrl_pack.dmem_addr_ident_t;
19
use work.t48_pmem_ctrl_pack.pmem_addr_ident_t;
20 4 arniml
use work.t48_pack.dmem_addr_t;
21
use work.t48_pack.pmem_addr_t;
22
use work.t48_pack.mstate_t;
23
use work.t48_pack.word_t;
24
use work.t48_pack.nibble_t;
25
 
26
package t48_comp_pack is
27
 
28 179 arniml
  component t48_alu
29 4 arniml
    port (
30
      clk_i              : in  std_logic;
31
      res_i              : in  std_logic;
32
      en_clk_i           : in  boolean;
33
      data_i             : in  word_t;
34
      data_o             : out word_t;
35
      write_accu_i       : in  boolean;
36
      write_shadow_i     : in  boolean;
37
      write_temp_reg_i   : in  boolean;
38
      read_alu_i         : in  boolean;
39
      carry_i            : in  std_logic;
40
      carry_o            : out std_logic;
41
      aux_carry_o        : out std_logic;
42
      alu_op_i           : in  alu_op_t;
43 28 arniml
      use_carry_i        : in  boolean;
44
      da_high_i          : in  boolean;
45
      da_overflow_o      : out boolean;
46 38 arniml
      accu_low_i         : in  boolean;
47 28 arniml
      p06_temp_reg_i     : in  boolean;
48
      p60_temp_reg_i     : in  boolean
49 4 arniml
    );
50
  end component;
51
 
52 179 arniml
  component t48_bus_mux
53 4 arniml
    port (
54
      alu_data_i : in  word_t;
55
      bus_data_i : in  word_t;
56
      dec_data_i : in  word_t;
57
      dm_data_i  : in  word_t;
58
      pm_data_i  : in  word_t;
59
      p1_data_i  : in  word_t;
60
      p2_data_i  : in  word_t;
61
      psw_data_i : in  word_t;
62
      tim_data_i : in  word_t;
63
      data_o     : out word_t
64
    );
65
  end component;
66
 
67 179 arniml
  component t48_clock_ctrl
68 4 arniml
    generic (
69
      xtal_div_3_g   : integer := 1
70
    );
71
    port (
72
      clk_i          : in  std_logic;
73
      xtal_i         : in  std_logic;
74
      res_i          : in  std_logic;
75
      en_clk_i       : in  boolean;
76
      xtal3_o        : out boolean;
77 162 arniml
      t0_o           : out std_logic;
78 4 arniml
      multi_cycle_i  : in  boolean;
79
      assert_psen_i  : in  boolean;
80
      assert_prog_i  : in  boolean;
81
      assert_rd_i    : in  boolean;
82
      assert_wr_i    : in  boolean;
83
      mstate_o       : out mstate_t;
84
      second_cycle_o : out boolean;
85
      ale_o          : out boolean;
86
      psen_o         : out boolean;
87
      prog_o         : out boolean;
88
      rd_o           : out boolean;
89
      wr_o           : out boolean
90
    );
91
  end component;
92
 
93 179 arniml
  component t48_cond_branch
94 4 arniml
    port (
95
      clk_i          : in  std_logic;
96
      res_i          : in  std_logic;
97
      en_clk_i       : in  boolean;
98
      compute_take_i : in  boolean;
99
      branch_cond_i  : in  branch_conditions_t;
100
      take_branch_o  : out boolean;
101
      accu_i         : in  word_t;
102
      t0_i           : in  std_logic;
103
      t1_i           : in  std_logic;
104
      int_n_i        : in  std_logic;
105
      f0_i           : in  std_logic;
106
      f1_i           : in  std_logic;
107
      tf_i           : in  std_logic;
108
      carry_i        : in  std_logic;
109
      comp_value_i   : in  comp_value_t
110
    );
111
  end component;
112
 
113 179 arniml
  component t48_db_bus
114 4 arniml
    port (
115
      clk_i        : in  std_logic;
116
      res_i        : in  std_logic;
117
      en_clk_i     : in  boolean;
118
      ea_i         : in  std_logic;
119
      data_i       : in  word_t;
120
      data_o       : out word_t;
121
      write_bus_i  : in  boolean;
122
      read_bus_i   : in  boolean;
123
      output_pcl_i : in  boolean;
124
      bidir_bus_i  : in  boolean;
125
      pcl_i        : in  word_t;
126
      db_i         : in  word_t;
127
      db_o         : out word_t;
128
      db_dir_o     : out std_logic
129
    );
130
  end component;
131
 
132 179 arniml
  component t48_decoder
133 4 arniml
    generic (
134
      register_mnemonic_g   : integer := 1
135
    );
136
    port (
137
      clk_i                  : in  std_logic;
138
      res_i                  : in  std_logic;
139
      en_clk_i               : in  boolean;
140
      ea_i                   : in  std_logic;
141
      ale_i                  : in  boolean;
142
      int_n_i                : in  std_logic;
143
      t0_dir_o               : out std_logic;
144
      data_i                 : in  word_t;
145
      data_o                 : out word_t;
146
      alu_write_accu_o       : out boolean;
147
      alu_write_shadow_o     : out boolean;
148
      alu_write_temp_reg_o   : out boolean;
149
      alu_read_alu_o         : out boolean;
150
      bus_write_bus_o        : out boolean;
151
      bus_read_bus_o         : out boolean;
152
      dm_write_dmem_addr_o   : out boolean;
153
      dm_write_dmem_o        : out boolean;
154
      dm_read_dmem_o         : out boolean;
155
      p1_write_p1_o          : out boolean;
156
      p1_read_p1_o           : out boolean;
157
      p2_write_p2_o          : out boolean;
158
      p2_write_exp_o         : out boolean;
159
      p2_read_p2_o           : out boolean;
160
      pm_write_pcl_o         : out boolean;
161
      pm_read_pcl_o          : out boolean;
162
      pm_write_pch_o         : out boolean;
163
      pm_read_pch_o          : out boolean;
164
      pm_read_pmem_o         : out boolean;
165
      psw_read_psw_o         : out boolean;
166
      psw_read_sp_o          : out boolean;
167
      psw_write_psw_o        : out boolean;
168
      psw_write_sp_o         : out boolean;
169
      alu_carry_i            : in  std_logic;
170
      alu_op_o               : out alu_op_t;
171 28 arniml
      alu_da_high_o          : out boolean;
172 38 arniml
      alu_accu_low_o         : out boolean;
173 28 arniml
      alu_da_overflow_i      : in  boolean;
174
      alu_p06_temp_reg_o     : out boolean;
175
      alu_p60_temp_reg_o     : out boolean;
176 4 arniml
      alu_use_carry_o        : out boolean;
177
      bus_output_pcl_o       : out boolean;
178
      bus_bidir_bus_o        : out boolean;
179
      clk_multi_cycle_o      : out boolean;
180
      clk_assert_psen_o      : out boolean;
181
      clk_assert_prog_o      : out boolean;
182
      clk_assert_rd_o        : out boolean;
183
      clk_assert_wr_o        : out boolean;
184
      clk_mstate_i           : in  mstate_t;
185
      clk_second_cycle_i     : in  boolean;
186
      cnd_compute_take_o     : out boolean;
187
      cnd_branch_cond_o      : out branch_conditions_t;
188
      cnd_take_branch_i      : in  boolean;
189
      cnd_comp_value_o       : out comp_value_t;
190
      cnd_f1_o               : out std_logic;
191
      cnd_tf_o               : out std_logic;
192
      dm_addr_type_o         : out dmem_addr_ident_t;
193
      tim_read_timer_o       : out boolean;
194
      tim_write_timer_o      : out boolean;
195
      tim_start_t_o          : out boolean;
196
      tim_start_cnt_o        : out boolean;
197
      tim_stop_tcnt_o        : out boolean;
198
      p1_read_reg_o          : out boolean;
199
      p2_read_reg_o          : out boolean;
200 24 arniml
      p2_read_exp_o          : out boolean;
201 4 arniml
      p2_output_pch_o        : out boolean;
202
      p2_output_exp_o        : out boolean;
203
      pm_inc_pc_o            : out boolean;
204
      pm_write_pmem_addr_o   : out boolean;
205
      pm_addr_type_o         : out pmem_addr_ident_t;
206
      psw_special_data_o     : out std_logic;
207
      psw_carry_i            : in  std_logic;
208 28 arniml
      psw_aux_carry_i        : in  std_logic;
209 4 arniml
      psw_f0_i               : in  std_logic;
210
      psw_inc_stackp_o       : out boolean;
211
      psw_dec_stackp_o       : out boolean;
212
      psw_write_carry_o      : out boolean;
213
      psw_write_aux_carry_o  : out boolean;
214
      psw_write_f0_o         : out boolean;
215
      psw_write_bs_o         : out boolean;
216
      tim_overflow_i         : in  boolean
217
    );
218
  end component;
219
 
220 179 arniml
  component t48_dmem_ctrl
221 4 arniml
    port (
222
      clk_i             : in  std_logic;
223
      res_i             : in  std_logic;
224
      en_clk_i          : in  boolean;
225
      data_i            : in  word_t;
226
      write_dmem_addr_i : in  boolean;
227
      write_dmem_i      : in  boolean;
228
      read_dmem_i       : in  boolean;
229
      addr_type_i       : in  dmem_addr_ident_t;
230
      bank_select_i     : in  std_logic;
231
      data_o            : out word_t;
232
      dmem_data_i       : in  word_t;
233
      dmem_addr_o       : out dmem_addr_t;
234
      dmem_we_o         : out std_logic;
235
      dmem_data_o       : out word_t
236
    );
237
  end component;
238
 
239 179 arniml
  component t48_int
240 4 arniml
    port (
241 119 arniml
      clk_i             : in  std_logic;
242
      res_i             : in  std_logic;
243
      en_clk_i          : in  boolean;
244
      clk_mstate_i      : in  mstate_t;
245
      jtf_executed_i    : in  boolean;
246
      tim_overflow_i    : in  boolean;
247
      tf_o              : out std_logic;
248
      en_tcnti_i        : in  boolean;
249
      dis_tcnti_i       : in  boolean;
250
      int_n_i           : in  std_logic;
251
      ale_i             : in  boolean;
252
      last_cycle_i      : in  boolean;
253
      en_i_i            : in  boolean;
254
      dis_i_i           : in  boolean;
255
      ext_int_o         : out boolean;
256
      tim_int_o         : out boolean;
257
      retr_executed_i   : in  boolean;
258
      int_executed_i    : in  boolean;
259
      int_pending_o     : out boolean;
260
      int_in_progress_o : out boolean
261 4 arniml
    );
262
  end component;
263
 
264 179 arniml
  component t48_opc_table
265 4 arniml
    port (
266
      opcode_i      : in  word_t;
267
      multi_cycle_o : out std_logic;
268
      mnemonic_o    : out mnemonic_t
269
    );
270
  end component;
271
 
272 179 arniml
  component t48_opc_decoder
273 4 arniml
    generic (
274
      register_mnemonic_g : integer := 1
275
    );
276
    port (
277
      clk_i         : in  std_logic;
278
      res_i         : in  std_logic;
279
      en_clk_i      : in  boolean;
280
      data_i        : in  word_t;
281
      read_bus_i    : in  boolean;
282
      inj_int_i     : in  boolean;
283
      opcode_o      : out word_t;
284
      mnemonic_o    : out mnemonic_t;
285
      multi_cycle_o : out boolean
286
    );
287
  end component;
288
 
289 179 arniml
  component t48_timer
290 4 arniml
    generic (
291
      sample_t1_state_g : integer := 4
292
    );
293
    port (
294
      clk_i         : in  std_logic;
295
      res_i         : in  std_logic;
296
      en_clk_i      : in  boolean;
297
      t1_i          : in  std_logic;
298
      clk_mstate_i  : in  mstate_t;
299
      data_i        : in  word_t;
300
      data_o        : out word_t;
301
      read_timer_i  : in  boolean;
302
      write_timer_i : in  boolean;
303
      start_t_i     : in  boolean;
304
      start_cnt_i   : in  boolean;
305
      stop_tcnt_i   : in  boolean;
306
      overflow_o    : out std_logic
307
    );
308
  end component;
309
 
310 179 arniml
  component t48_p1
311 4 arniml
    port (
312 32 arniml
      clk_i        : in  std_logic;
313
      res_i        : in  std_logic;
314
      en_clk_i     : in  boolean;
315
      data_i       : in  word_t;
316
      data_o       : out word_t;
317
      write_p1_i   : in  boolean;
318
      read_p1_i    : in  boolean;
319
      read_reg_i   : in  boolean;
320
      p1_i         : in  word_t;
321
      p1_o         : out word_t;
322
      p1_low_imp_o : out std_logic
323 4 arniml
    );
324
  end component;
325
 
326 179 arniml
  component t48_p2
327 4 arniml
    port (
328
      clk_i        : in  std_logic;
329
      res_i        : in  std_logic;
330
      en_clk_i     : in  boolean;
331
      data_i       : in  word_t;
332
      data_o       : out word_t;
333
      write_p2_i   : in  boolean;
334
      write_exp_i  : in  boolean;
335
      read_p2_i    : in  boolean;
336
      read_reg_i   : in  boolean;
337 24 arniml
      read_exp_i   : in  boolean;
338 4 arniml
      output_pch_i : in  boolean;
339
      output_exp_i : in  boolean;
340
      pch_i        : in  nibble_t;
341
      p2_i         : in  word_t;
342
      p2_o         : out word_t;
343 32 arniml
      p2_low_imp_o : out std_logic
344 4 arniml
    );
345
  end component;
346
 
347 179 arniml
  component t48_pmem_ctrl
348 4 arniml
    port (
349
      clk_i             : in  std_logic;
350
      res_i             : in  std_logic;
351
      en_clk_i          : in  boolean;
352
      data_i            : in  word_t;
353
      data_o            : out word_t;
354
      write_pcl_i       : in  boolean;
355
      read_pcl_i        : in  boolean;
356
      write_pch_i       : in  boolean;
357
      read_pch_i        : in  boolean;
358
      inc_pc_i          : in  boolean;
359
      write_pmem_addr_i : in  boolean;
360
      addr_type_i       : in  pmem_addr_ident_t;
361
      read_pmem_i       : in  boolean;
362
      pmem_addr_o       : out pmem_addr_t;
363
      pmem_data_i       : in  word_t
364
    );
365
  end component;
366
 
367 179 arniml
  component t48_psw
368 4 arniml
    port (
369
      clk_i              : in  std_logic;
370
      res_i              : in  std_logic;
371
      en_clk_i           : in  boolean;
372
      data_i             : in  word_t;
373
      data_o             : out word_t;
374
      read_psw_i         : in  boolean;
375
      read_sp_i          : in  boolean;
376
      write_psw_i        : in  boolean;
377
      write_sp_i         : in  boolean;
378
      special_data_i     : in  std_logic;
379
      inc_stackp_i       : in  boolean;
380
      dec_stackp_i       : in  boolean;
381
      write_carry_i      : in  boolean;
382
      write_aux_carry_i  : in  boolean;
383
      write_f0_i         : in  boolean;
384
      write_bs_i         : in  boolean;
385
      carry_o            : out std_logic;
386 28 arniml
      aux_carry_i        : in  std_logic;
387 4 arniml
      aux_carry_o        : out std_logic;
388
      f0_o               : out std_logic;
389
      bs_o               : out std_logic
390
    );
391
  end component;
392
 
393
end t48_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.