OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_6__beta/] [sw/] [verif/] [include/] [Makefile.core] - Blame information for rev 292

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arniml
 
2
.PHONY: all
3 113 arniml
all: t48_rom.hex t48_ext_rom.hex
4 14 arniml
 
5
$(MODULE).p: $(MODULE).asm
6
        asl -i $(VERIF_DIR)/include -L $(MODULE).asm
7
 
8
t48_rom.hex: $(MODULE).p
9 113 arniml
        p2hex -r 0-2047 $(MODULE).p t48_rom.hex
10 14 arniml
 
11 113 arniml
t48_ext_rom.hex: $(MODULE).p
12
        p2hex -a -r 2048-4095 $(MODULE).p t48_ext_rom.hex
13
 
14 14 arniml
.PHONY: simu
15
simu: all
16 113 arniml
        cp t48_rom.hex t48_ext_rom.hex $(SIM_DIR)
17 14 arniml
 
18
.PHONY: clean
19
clean:
20
        rm -f *.p *~ *.hex *.lst

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.