OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_6_beta/] [rtl/] [vhdl/] [cond_branch_pack-p.vhd] - Blame information for rev 292

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3 179 arniml
-- $Id: cond_branch_pack-p.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $
4 4 arniml
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14 179 arniml
package t48_cond_branch_pack is
15 4 arniml
 
16
  -----------------------------------------------------------------------------
17
  -- The branch conditions.
18
  -----------------------------------------------------------------------------
19
  type branch_conditions_t is (COND_ON_BIT, COND_Z,
20
                               COND_C,
21
                               COND_F0, COND_F1,
22
                               COND_INT,
23
                               COND_T0, COND_T1,
24
                               COND_TF);
25
 
26
  subtype comp_value_t is std_logic_vector(2 downto 0);
27
 
28 179 arniml
end t48_cond_branch_pack;
29 4 arniml
 
30
 
31
-------------------------------------------------------------------------------
32
-- File History:
33
--
34
-- $Log: not supported by cvs2svn $
35 179 arniml
-- Revision 1.1  2004/03/23 21:31:52  arniml
36
-- initial check-in
37 4 arniml
--
38
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.