OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [bench/] [vhdl/] [t48_rom-lpm-c.vhd] - Blame information for rev 339

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 224 arniml
-------------------------------------------------------------------------------
2
--
3
-- T8x48 ROM
4
-- Wrapper for ROM model from the LPM library.
5
--
6
-- $Id: t48_rom-lpm-c.vhd,v 1.1 2006-06-21 00:58:27 arniml Exp $
7
--
8
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
9
--
10
-- All rights reserved
11
--
12
-------------------------------------------------------------------------------
13
 
14
configuration t48_rom_lpm_c0 of t48_rom is
15
 
16
  for lpm
17
 
18
    for rom_b: lpm_rom
19
      use configuration work.lpm_rom_c0;
20
    end for;
21
 
22
  end for;
23
 
24
end t48_rom_lpm_c0;
25
 
26
 
27
-------------------------------------------------------------------------------
28
-- File History:
29
--
30
-- $Log: not supported by cvs2svn $
31
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.