OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [system/] [t48_system_comp_pack-p.vhd] - Blame information for rev 148

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 148 arniml
-------------------------------------------------------------------------------
2
--
3
-- $Id: t48_system_comp_pack-p.vhd,v 1.1 2004-12-01 23:07:20 arniml Exp $
4
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
package t48_system_comp_pack is
15
 
16
  component t8048_notri
17
    port (
18
      xtal_i       : in  std_logic;
19
      reset_n_i    : in  std_logic;
20
      t0_i         : in  std_logic;
21
      t0_o         : out std_logic;
22
      t0_dir_o     : out std_logic;
23
      int_n_i      : in  std_logic;
24
      ea_i         : in  std_logic;
25
      rd_n_o       : out std_logic;
26
      psen_n_o     : out std_logic;
27
      wr_n_o       : out std_logic;
28
      ale_o        : out std_logic;
29
      db_i         : in  std_logic_vector( 7 downto 0);
30
      db_o         : out std_logic_vector( 7 downto 0);
31
      db_dir_o     : out std_logic;
32
      t1_i         : in  std_logic;
33
      p2_i         : in  std_logic_vector( 7 downto 0);
34
      p2_o         : out std_logic_vector( 7 downto 0);
35
      p2_low_imp_o : out std_logic;
36
      p1_i         : in  std_logic_vector( 7 downto 0);
37
      p1_o         : out std_logic_vector( 7 downto 0);
38
      p1_low_imp_o : out std_logic;
39
      prog_n_o     : out std_logic
40
    );
41
  end component;
42
 
43
end t48_system_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.